A Majority-Based Imprecise Multiplier for Ultra-Efficient Approximate Image Multiplication

Approximate computing is an emerging approach for reducing the energy consumption and design complexity in many applications where accuracy is not a crucial necessity. In this study, ultra-efficient imprecise 4:2 compressor and multiplier circuits as the building blocks of the approximate computing systems are proposed. The proposed compressor uses only one majority gate which is different from the conventional design methods using AND - OR and XOR logics. Furthermore, the majority gate is the fundamental logic block in many of the emerging majority-friendly nanotechnologies such as quantum-dot cellular automata (QCA) and single-electron transistor (SET). The proposed circuits are designed using FinFET as a current industrial technology and are simulated with HSPICE at 7nm technology node. The results indicate that our imprecise compressor is superior to its previous counterparts in terms of delay, power consumption, power delay product (PDP) and area, and improves these parameters on average by 32%, 68%, 78%, and 66%, respectively. In addition, the proposed efficient approximate multiplier is utilized in image multiplying as an important image processing application. The HSPICE and MATLAB simulations indicate that the proposed inexact multiplier provides a significant compromise between accuracy and design efficiency for approximate computing.

[1]  Mehdi Kamal,et al.  Dual-Quality 4:2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Keivan Navi,et al.  An energy and area efficient 4: 2 compressor based on FinFETs , 2018, Integr..

[3]  Máire O'Neill,et al.  Design of Majority Logic (ML) Based Approximate Full Adders , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

[4]  Sunggu Lee,et al.  Multipliers With Approximate 4–2 Compressors and Error Recovery Modules , 2018, IEEE Embedded Systems Letters.

[5]  K. Sridharan,et al.  Majority Logic Formulations for Parallel Adder Designs at Reduced Delay and Circuit Complexity , 2017, IEEE Transactions on Computers.

[6]  V. Beiu,et al.  On the Reliability of Majority Gates Full Adders , 2008, IEEE Transactions on Nanotechnology.

[7]  Seok-Bum Ko,et al.  Design of Power and Area Efficient Approximate Multipliers , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Dimitrios Soudris,et al.  Energy-efficient VLSI implementation of multipliers with double LSB operands , 2019, IET Circuits Devices Syst..

[9]  Shaahin Hessabi,et al.  A low-power single-ended SRAM in FinFET technology , 2019, AEU - International Journal of Electronics and Communications.

[10]  Sparsh Mittal,et al.  A Survey of Techniques for Approximate Computing , 2016, ACM Comput. Surv..

[11]  Kiamal Z. Pekmestzi,et al.  Walking through the Energy-Error Pareto Frontier of Approximate Multipliers , 2018, IEEE Micro.

[12]  Yoshihito Amemiya,et al.  Single-Electron Majority Logic Circuits , 1997 .

[13]  Jan M. Rabaey,et al.  Low Power Design Essentials , 2009, Series on Integrated Circuits and Systems.

[14]  Volkan Kursun,et al.  A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability , 2013, International Symposium on Quality Electronic Design (ISQED).

[15]  Bruce F. Cockburn,et al.  Low-Power Approximate Multipliers Using Encoded Partial Products and Approximate Compressors , 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[16]  Mohammad Hossein Moaiyeri,et al.  An efficient majority-based compressor for approximate computing in the nano era , 2017, Microsystem Technologies.

[17]  Anusha Gorantla,et al.  Design of Approximate Compressors for Multiplication , 2017, ACM J. Emerg. Technol. Comput. Syst..

[18]  Fabrizio Lombardi,et al.  Design and Analysis of Approximate Compressors for Multiplication , 2015, IEEE Transactions on Computers.

[19]  Soha Hassoun,et al.  Gate sizing: finFETs vs 32nm bulk MOSFETs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[20]  Cong Xu,et al.  Impact of Write Pulse and Process Variation on 22 nm FinFET-Based STT-RAM Design: A Device-Architecture Co-Optimization Approach , 2015, IEEE Transactions on Multi-Scale Computing Systems.

[21]  Fabrizio Lombardi,et al.  New Metrics for the Reliability of Approximate and Probabilistic Adders , 2013, IEEE Transactions on Computers.

[22]  Kaushik Roy,et al.  IMPACT: IMPrecise adders for low-power approximate computing , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[23]  Chip-Hong Chang,et al.  Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits , 2004, IEEE Trans. Circuits Syst. I Regul. Pap..

[24]  Mohammad Hossein Moaiyeri,et al.  Efficient and Robust SRAM Cell Design Based on Quantum-Dot Cellular Automata , 2018 .

[25]  Saurabh Sinha,et al.  ASAP7: A 7-nm finFET predictive process design kit , 2016, Microelectron. J..

[26]  Kaushik Roy,et al.  Low Power Robust FinFET-Based SRAM Design in Scaled Technologies , 2015 .

[27]  Mohammad Hossein Moaiyeri,et al.  Comparative Analysis of Simultaneous Switching Noise Effects in MWCNT Bundle and Cu Power Interconnects in CNTFET-Based Ternary Circuits , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  Dimitrios Soudris,et al.  Approximate Hybrid High Radix Encoding for Energy-Efficient Inexact Multipliers , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Fabrizio Lombardi,et al.  A Comparative Review and Evaluation of Approximate Adders , 2015, ACM Great Lakes Symposium on VLSI.

[30]  Anantha Chandrakasan,et al.  Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.

[31]  Jie Han,et al.  Majority-Based Spin-CMOS Primitives for Approximate Computing , 2018, IEEE Transactions on Nanotechnology.

[32]  Eero P. Simoncelli,et al.  Image quality assessment: from error visibility to structural similarity , 2004, IEEE Transactions on Image Processing.

[33]  Behrooz Parhami,et al.  Adapting Computer Arithmetic Structures to Sustainable Supercomputing in Low-Power, Majority-Logic Nanotechnologies , 2018, IEEE Transactions on Sustainable Computing.

[34]  Yan Liu,et al.  Three-input majority logic gate and multiple input logic circuit based on DNA strand displacement. , 2013, Nano letters.

[35]  Wolfgang Fichtner,et al.  Low-power logic styles: CMOS versus pass-transistor logic , 1997, IEEE J. Solid State Circuits.