Thermal-Aware Task Scheduling for Energy Minimization in Heterogeneous Real-Time MPSoC Systems

With the continuous scaling of CMOS devices, the increase in power density and system integration level have not only resulted in huge energy consumption but also led to elevated chip temperature. Thus, energy efficient task scheduling with thermal consideration has become a pressing research issue in computing systems, especially for real-time embedded systems with limited cooling techniques. In this paper, we design a two-stage energy-efficient temperature-aware task scheduling scheme for heterogeneous real-time multiprocessor system-on-chip (MPSoC) systems. In the first stage, we analyze the energy optimality of assigning real-time tasks to multiple processors of an MPSoC system, and design a task assignment heuristic that minimizes the system dynamic energy consumption under the constraint of task deadlines. In the second stage, the optimality of minimizing the peak temperature of a processor is investigated, and a slack distribution heuristic is proposed to improve the temperature profile of each processor under the thermal constraint, thus the temperature-dependent system leakage energy consumption is reduced. Through the extensive efforts made in two stages, the system overall energy consumption is minimized. Experimental results have demonstrated the effectiveness of our scheme.

[1]  Stefan M. Petters,et al.  Energy-aware partitioning of tasks onto a heterogeneous multi-core platform , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[2]  Gang Quan,et al.  Heterogeneity exploration for peak temperature reduction on multi-core platforms , 2014, Fifteenth International Symposium on Quality Electronic Design.

[3]  Gang Quan,et al.  Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.

[4]  Narayanan Vijaykrishnan,et al.  Variation-aware task allocation and scheduling for MPSoC , 2007, ICCAD 2007.

[5]  C. Slingluff,et al.  University of Virginia , 1842, Western journal of medicine and surgery.

[6]  Ragunathan Rajkumar,et al.  Energy-efficient allocation of real-time applications onto Heterogeneous Processors , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.

[7]  R. Viswanath Thermal Performance Challenges from Silicon to Systems , 2000 .

[8]  Li Shang,et al.  Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[9]  Jitender S. Deogun,et al.  Thermal-Constrained Energy-Aware Partitioning for Heterogeneous Multi-core Multiprocessor Real-Time Systems , 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[10]  Muhammad Shafique,et al.  Adaptive Energy Management for Dynamically Reconfigurable Processors , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Keqin Li,et al.  Scheduling Precedence Constrained Tasks with Reduced Processor Energy on Multiprocessor Computers , 2012, IEEE Transactions on Computers.

[13]  Karam S. Chatha,et al.  Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[14]  Tulika Mitra,et al.  Temperature aware task sequencing and voltage scaling , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[15]  Petru Eles,et al.  Low-Energy Standby-Sparing for Hard Real-Time Systems , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[17]  Yajun Ha,et al.  Thermal-aware frequency scaling for adaptive workloads on heterogeneous MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[18]  Jörg Henkel,et al.  Energy and Peak Power Efficiency Analysis for the Single Voltage Approximation (SVA) Scheme , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  M. R. Rao,et al.  Combinatorial Optimization , 1992, NATO ASI Series.

[20]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Wei Quan,et al.  A Hybrid Task Mapping Algorithm for Heterogeneous MPSoCs , 2015, ACM Trans. Embed. Comput. Syst..

[22]  ChenGang,et al.  Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combination , 2014 .

[23]  Dakai Zhu,et al.  On Maximizing Reliability of Real-Time Embedded Applications Under Hard Energy Constraint , 2010, IEEE Transactions on Industrial Informatics.

[24]  Meikang Qiu,et al.  Throughput maximization for periodic real-time systems under the maximal temperature constraint , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[25]  Jie Wu,et al.  Minimizing Energy Consumption for Frame-Based Tasks on Heterogeneous Multiprocessor Platforms , 2015, IEEE Transactions on Parallel and Distributed Systems.

[26]  Muhammad Shafique,et al.  Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia Applications , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Huazhong Yang,et al.  Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .

[28]  Omar U. Pereira Zapata,et al.  EDF and RM Multiprocessor Scheduling Algorithms : Survey and Performance Evaluation , 2005 .

[29]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[30]  William J. Cook,et al.  Combinatorial optimization , 1997 .

[31]  Li Shang,et al.  Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[32]  Pradip Bose,et al.  Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[33]  Lothar Thiele,et al.  Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[34]  Rami G. Melhem,et al.  Scheduling with dynamic voltage/speed adjustment using slack reclamation in multi-processor real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[35]  Narayanan Vijaykrishnan,et al.  Variation-aware task allocation and scheduling for MPSoC , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[36]  Rami G. Melhem,et al.  Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems , 2003, IEEE Trans. Parallel Distributed Syst..