Robust and Traffic Aware Medium Access Control Mechanisms for Energy-Efficient mm-Wave Wireless Network-on-Chip Architectures
暂无分享,去创建一个
[1] Fabien Mieyeville,et al. System Level Assessment of an Optical NoC in an MPSoC Platform , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[2] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[3] Amlan Ganguly,et al. Heterogeneous photonic Network-on-Chip with dynamic bandwidth allocation , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).
[4] K. Kempa,et al. Carbon Nanotubes as Optical Antennae , 2007 .
[5] Charles R. Phillips,et al. Digital control system analysis and design , 1985, IEEE Transactions on Systems, Man, and Cybernetics.
[6] Philip G. Emma,et al. Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[7] Eduard Alarcón,et al. Graphene-enabled wireless communication for massive multicore architectures , 2013, IEEE Communications Magazine.
[8] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[9] Gregory S. Snider,et al. A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .
[10] Amlan Ganguly,et al. Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip , 2015, IEEE Transactions on Multi-Scale Computing Systems.
[11] Gabriel H. Loh,et al. Thermal analysis of a 3D die-stacked high-performance microprocessor , 2006, GLSVLSI '06.
[12] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[13] N. Cohen,et al. Soft error considerations for deep-submicron CMOS circuit applications , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).
[14] Jian Li,et al. Design of multi-channel wireless NoC to improve on-chip communication capacity! , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[15] Jongman Kim,et al. Do we need wide flits in Networks-on-Chip? , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[16] David W. Matolak,et al. A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors , 2015, IEEE Transactions on Parallel and Distributed Systems.
[17] I. Y. Kim,et al. Adaptive weighted-sum method for bi-objective optimization: Pareto front generation , 2005 .
[18] T. Petermann,et al. Spatial small-world networks: A wiring-cost perspective , 2005, cond-mat/0501420.
[19] Partha Pratim Pande,et al. A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip , 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.
[20] Partha Pratim Pande,et al. Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).
[21] Ren-Song Tsay,et al. How to consider shorts and guarantee yield rate improvement for redundant wire insertion , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[22] Amlan Ganguly,et al. Temperature-aware wireless network-on-chip architecture , 2014, International Green Computing Conference.
[23] David W. Matolak,et al. iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture , 2011, 2011 IEEE 19th Annual Symposium on High Performance Interconnects.
[24] Kiam Heong Ang,et al. PID control system analysis and design , 2006, IEEE Control Systems.
[25] Jürgen Becker,et al. Performance, accuracy, power consumption and resource utilization analysis for hardware / software realized Artificial Neural Networks , 2010, 2010 IEEE Fifth International Conference on Bio-Inspired Computing: Theories and Applications (BIC-TA).
[26] Vojin G. Oklobdzija,et al. High-Performance Energy-Efficient Microprocessor Design (Series on Integrated Circuits and Systems) , 2006 .
[27] Mario Badr,et al. SynFull: Synthetic traffic models capturing cache coherent behaviour , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[28] Laura Chizuko Fujino. 2008 IEEE International Solid-State Circuits Conference , 2003 .
[29] Olav Lysne,et al. Layered routing in irregular networks , 2006, IEEE Transactions on Parallel and Distributed Systems.
[30] Amlan Ganguly,et al. Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access Mechanism , 2015, NOCS.
[31] Amlan Ganguly,et al. A folded wireless network-on-chip using graphene based THz-band antennas , 2017, NANOCOM.
[32] Samuel Naffziger,et al. An x86-64 core implemented in 32nm SOI CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[33] Duncan J. Watts,et al. Collective dynamics of ‘small-world’ networks , 1998, Nature.
[34] Partha Pratim Pande,et al. Enhancing performance of wireless NoCs with distributed MAC protocols , 2015, Sixteenth International Symposium on Quality Electronic Design.
[35] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[36] Natalie D. Enright Jerger,et al. Enabling interposer-based disintegration of multi-core processors , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[37] Amlan Ganguly,et al. A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.
[38] Christof Teuscher,et al. Nature-Inspired Interconnects for Self-Assembled Large-Scale Network-on-Chip Designs , 2007, Chaos.
[39] Niraj K. Jha,et al. Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.
[40] Christopher Batten,et al. Designing multi-socket systems using silicon photonics , 2009, ICS.
[41] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[42] Li-Shiuan Peh,et al. A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.
[43] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[44] Sriram R. Vangal,et al. A 2 Tb/s 6 × 4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS , 2011, VLSIC 2011.
[45] Li-Shiuan Peh,et al. Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[46] Yu Su,et al. Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.
[47] A. Sugavanam,et al. On-chip antennas in silicon ICs and their application , 2005, IEEE Transactions on Electron Devices.
[48] Chi-Ying Tsui,et al. FSNoC: A Flit-Level Speedup Scheme for Network on-Chips Using Self-Reconfigurable Bidirectional Channels , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[49] Chifeng Wang,et al. A Wireless Network-on-Chip Design for Multicore Platforms , 2011, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing.
[50] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[51] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[52] Jason Cong,et al. CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[53] T. Başar,et al. A New Approach to Linear Filtering and Prediction Problems , 2001 .
[54] James L. Walsh,et al. IBM experiments in soft fails in computer electronics (1978-1994) , 1996, IBM J. Res. Dev..
[55] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[56] G. Blake,et al. A survey of multicore processors , 2009, IEEE Signal Processing Magazine.
[57] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[58] Amlan Ganguly,et al. A robust medium access mechanism for millimeter-wave Wireless Network-on-Chip architecture , 2013, 2013 IEEE International SOC Conference.
[59] Shahriar Mirabbasi,et al. An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[60] Shahriar Mirabbasi,et al. A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip , 2014, IEEE Transactions on Microwave Theory and Techniques.
[61] Giuseppe Piro,et al. Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip , 2014, NANOCOM' 14.
[62] Narayanan Vijaykrishnan,et al. A Hardware Efficient Support Vector Machine Architecture for FPGA , 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.
[63] M. Dragoman,et al. Terahertz antenna based on graphene , 2010 .
[64] Jenhui Chen,et al. A study of CSMA-based and token-based wireless interconnects network-on-chip , 2014, 2014 IEEE International Conference on Communiction Problem-solving.
[65] Shahriar Mirabbasi,et al. Architecture and Design of Multichannel Millimeter-Wave Wireless NoC , 2014, IEEE Design & Test.
[66] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[67] Andres Kwasinski,et al. CDMA Enabled Wireless Network-on-Chip , 2014, JETC.
[68] Chita R. Das,et al. A case for heterogeneous on-chip interconnects for CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[69] Michael Nicolaidis,et al. Embedded robustness IPs for transient-error-free ICs , 2002, IEEE Design & Test of Computers.
[70] Radu Marculescu,et al. On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[71] Partha Pratim Pande,et al. Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.
[72] Shekhar Borkar,et al. Low power design challenges for the decade , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
[73] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[74] Chih-Ming Hung,et al. Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters , 2002, IEEE J. Solid State Circuits.
[75] Partha Pratim Pande,et al. Complex network inspired fault-tolerant NoC architectures with wireless links , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[76] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[77] Jason Cong,et al. A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.
[78] James C. Hoe,et al. Single-Chip Heterogeneous Computing: Does the Future Include Custom Logic, FPGAs, and GPGPUs? , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[79] Eduard Alarcón,et al. Networking Challenges and Prospective Impact of Broadcast-Oriented Wireless Networks-on-Chip , 2015, NOCS.
[80] Sujay Deb,et al. Interference-Aware Wireless Network-on-Chip Architecture Using Directional Antennas , 2017, IEEE Transactions on Multi-Scale Computing Systems.
[81] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[82] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[83] David Flynn,et al. AMBA: enabling reusable on-chip designs , 1997, IEEE Micro.
[84] Yi Wang,et al. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.
[85] Amlan Ganguly,et al. A demand-aware predictive dynamic bandwidth allocation mechanism for wireless network-on-chip , 2016, 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[86] Vincenzo Catania,et al. An Efficient Radio Access Control Mechanism for Wireless Network-On-Chip Architectures , 2015 .
[87] Sudeep Pasricha,et al. Exploring serial vertical interconnects for 3D ICs , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[88] Albert-László Barabási,et al. Error and attack tolerance of complex networks , 2000, Nature.
[89] Sujay Deb,et al. Energy-efficient wireless network-on-chip architecture with log-periodic on-chip antennas , 2014, GLSVLSI '14.
[90] Christof Teuscher,et al. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.
[91] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.
[92] Amlan Ganguly,et al. Energy-efficient wireless interconnection framework for multichip systems with in-package memory stacks , 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).