Parametric yield optimization using leakage-yield-driven floorplanning

Continuous process scaling has resulted in ever increasing process variations, which in turn cause severe parametric yield loss, e.g., timing & leakage yield loss. State-of-the-art methods optimize the leakage yield either by applying dual-vt or gate sizing technologies or by exploring the interdependency between leakage power and operating temperature. In this paper, we propose a novel leakage yield optimization method from another perspective, i.e., by exploring spatial correlations between leakage power of different modules. In our method, a very fast hierarchical leakage yield calculation method is introduced and integrated into a simulated annealing based floorplanner to build a leakage-yield-driven floorplanner, which can identify floorplans with optimized leakage yield. Experimental results show that our method significantly speeds up the leakage yield calculation by up to six orders of magnitude and the standard deviation of leakage can be reduced by up to 29% by applying our leakage-yield-driven floorplanning.

[1]  Narayanan Vijaykrishnan,et al.  Thermal-aware floorplanning using genetic algorithms , 2005, Sixth international symposium on quality electronic design (isqed'05).

[2]  Christian Haubelt,et al.  Variation-aware leakage power model extraction for system-level hierarchical power analysis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  M. Orshansky,et al.  Joint Design-Time and Post-Silicon Minimization of Parametric Yield Loss using Adjustable Robust Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[4]  D. Sylvester,et al.  A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[5]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[6]  Heng Tao Shen,et al.  Principal Component Analysis , 2009, Encyclopedia of Biometrics.

[7]  David Blaauw,et al.  Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[8]  Yao-Wen Chang,et al.  Statistical thermal modeling and optimization considering leakage power variations , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[9]  Azadeh Davoodi,et al.  Probabilistic dual-Vth leakage optimization under variability , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[10]  Nikil D. Dutt,et al.  STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[11]  Jinjun Xiong,et al.  A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation , 2010, Design Automation Conference.

[12]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[13]  David Blaauw,et al.  Design time body bias selection for parametric yield improvement , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[14]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[15]  Nikil D. Dutt,et al.  LEAF: A System Level Leakage-Aware Floorplanner for SoCs , 2007, 2007 Asia and South Pacific Design Automation Conference.

[16]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[17]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[18]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  David Blaauw,et al.  Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[20]  David Blaauw,et al.  Variation-aware gate sizing and clustering for post-silicon optimized circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[21]  Kevin Skadron,et al.  A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.

[22]  Koen De Bosschere,et al.  2FAR: A 2bcgskew Predictor Fused by an Alloyed Redundant History Skewed Perceptron Branch Predictor , 2005, J. Instr. Level Parallelism.

[23]  Nikil D. Dutt,et al.  Floorplan driven leakage power aware IP-based SoC design space exploration , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[24]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[25]  Kevin J. Nowka,et al.  Parametric yield analysis and constrained-based supply voltage optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).

[26]  Siddharth Garg,et al.  System-level mitigation of WID leakage power variability using body-bias islands , 2008, CODES+ISSS '08.

[27]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[28]  Gang Qu,et al.  Improving dual Vt technology by simultaneous gate sizing and mechanical stress optimization , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).