Low-Leakage 3D Stacked Hybrid NEMFET-CMOS Dual Port Memory
暂无分享,去创建一个
George Razvan Voicu | Sorin Dan Cotofana | Mihai Lefter | Marius Enachescu | S. Cotofana | M. Enachescu | M. Lefter | G. Voicu
[1] B. Pruvost,et al. Design Optimization of NEMS Switches for Suspended-Gate Single-Electron Transistor Applications , 2009, IEEE Transactions on Nanotechnology.
[2] K. Boucart,et al. Suspended-gate MOSFET: bringing new MEMS functionality into solid-state MOS transistor , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[3] Owen Y Loh,et al. Nanoelectromechanical contact switches. , 2012, Nature nanotechnology.
[4] Francky Catthoor,et al. Ultra Low-Energy SRAM Design for Smart Ubiquitous Sensors , 2012, IEEE Micro.
[5] T. Liu,et al. Compact Nano-Electro-Mechanical Non-Volatile Memory (NEMory) for 3D Integration , 2007, 2007 IEEE International Electron Devices Meeting.
[6] Tsu-Jae King Liu,et al. Design, Optimization, and Scaling of MEM Relays for Ultra-Low-Power Digital Logic , 2011, IEEE Transactions on Electron Devices.
[7] Adrian M. Ionescu,et al. Finite element analysis and analytical simulations of Suspended Gate-FET for ultra-low power inverters , 2008 .
[8] Shekhar Y. Borkar,et al. Exponential Challenges, Exponential Rewards - The future of Moore's Law , 2004, IEEE/IFIP International Conference on Very Large Scale Integration of System-on-Chip.
[9] P. Pinsky,et al. Nonlinear dynamic modeling of micromachined microwave switches , 1997, 1997 IEEE MTT-S International Microwave Symposium Digest.
[10] Norman P. Jouppi,et al. CACTI 6.0: A Tool to Model Large Caches , 2009 .
[11] J. Patel,et al. Enabling SOI-based assembly technology for three-dimensional (3d) integrated circuits (ICs) , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[12] Shunsuke Okumura,et al. A 10T Non-precharge Two-Port SRAM Reducing Readout Power for Video Processing , 2008, IEICE Trans. Electron..
[13] Tadahiro Kuroda. Low-power, high-speed CMOS VLSI design , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[14] T. Sakurai,et al. 90% write power-saving SRAM using sense-amplifying memory cell , 2004, IEEE Journal of Solid-State Circuits.
[15] Hao Yu,et al. A Nanoelectromechanical-Switch-Based Thermal Management for 3-D Integrated Many-Core Memory-Processor System , 2012, IEEE Transactions on Nanotechnology.
[16] On the electro-mechanical reliability of NEMFET as an analog/digital switch , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[17] Sorin Cotofana,et al. Energy effective 3D stacked hybrid NEMFET-CMOS caches , 2014, 2014 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
[18] David Harris,et al. CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .
[19] H.-S. Philip Wong,et al. Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[20] Masahiko Yoshimoto,et al. A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[21] A.M. Ionescu,et al. Numerical and analytical simulations of suspended gate - FET for ultra-low power inverters , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.
[22] J. Cluzel,et al. Investigation on TSV impact on 65nm CMOS devices and circuits , 2010, 2010 International Electron Devices Meeting.
[23] H.-S.P. Wong,et al. Analytical Modeling of the Suspended-Gate FET and Design Insights for Low-Power Logic , 2008, IEEE Transactions on Electron Devices.
[24] M. Stan,et al. Circuit-level techniques to control gate leakage for sub-100 nm CMOS , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.
[25] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[26] Darsen D. Lu,et al. Compact Models for Future Generation CMOS , 2011 .
[27] Chih-Chang Lin,et al. 8.4 A 28Gb/s 1pJ/b shared-inductor optical receiver with 56% chip-area reduction in 28nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[28] Kaustav Banerjee,et al. Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[29] Sorin Cotofana,et al. Variation tolerant on-chip degradation sensors for dynamic reliability management systems , 2012, Microelectron. Reliab..
[30] Elad Alon,et al. Mechanical Computing Redux: Relays for Integrated Circuit Applications , 2010, Proceedings of the IEEE.
[31] Antonios Bazigos,et al. Ultra low power NEMFET based logic , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[32] H. Fujiwara,et al. Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differential — , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.
[33] Matthew J. Turnquist,et al. Adaptive subthreshold timing-error detection 8 bit microcontroller in 65 nm CMOS , 2012, 2012 IEEE International Symposium on Circuits and Systems.
[34] Kaustav Banerjee,et al. Modeling and design of a low-voltage SOI suspended-gate MOSFET (SG-MOSFET) with a metal-over-gate architecture , 2002, Proceedings International Symposium on Quality Electronic Design.
[35] Tsu-Jae King Liu,et al. 4-terminal relay technology for complementary logic , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[36] R. Howe,et al. Integration of nanoelectromechanical (NEM) relays with silicon CMOS with functional CMOS-NEM circuit , 2011, 2011 International Electron Devices Meeting.
[37] A. Dasgupta,et al. Failure-mechanism models for creep and creep rupture , 1993 .
[38] Vivek De,et al. A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[39] V. Pott,et al. Design and Scalability of a Memory Array Utilizing Anchor-Free Nanoelectromechanical Nonvolatile Memory Device , 2012, IEEE Electron Device Letters.