Olympic: A Hierarchical All-Optical Photonic Network for Low-Power Chip Multiprocessors
暂无分享,去创建一个
[1] Shaahin Hessabi,et al. All-optical wavelength-routed NoC based on a novel hierarchical topology , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[2] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[3] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[4] E. Alon,et al. A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. , 2010, Optics express.
[5] Shaahin Hessabi,et al. All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip , 2014, IEEE Transactions on Computers.
[6] Ashok V. Krishnamoorthy,et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.
[7] Philip G. Emma,et al. Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[8] Ian O'Connor,et al. Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology , 2011, 2011 Design, Automation & Test in Europe.
[9] Luca P. Carloni,et al. Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[10] Andrew B. Kahng,et al. A power-constrained MPU roadmap for the International Technology Roadmap for Semiconductors (ITRS) , 2009, 2009 International SoC Design Conference (ISOCC).
[11] Andrew B. Kahng,et al. ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Ian O'Connor,et al. Optical solutions for system-level interconnect , 2004, SLIP '04.
[13] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[14] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[15] Wei Zhang,et al. A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.
[16] Dean M. Tullsen,et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling , 2005, ISCA 2005.
[17] E. Alon,et al. Ultra-efficient 10 Gb/s hybrid integrated silicon photonic transmitter and receiver. , 2011, Optics express.
[18] Ashok V. Krishnamoorthy,et al. Ultralow-power high-performance Si photonic transmitter , 2010, 2010 Conference on Optical Fiber Communication (OFC/NFOEC), collocated National Fiber Optic Engineers Conference.
[19] Sandro Bartolini,et al. A Simple On-Chip Optical Interconnection for Improving Performance of Coherency Traffic in CMPs , 2012, 2012 15th Euromicro Conference on Digital System Design.
[20] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).