High performance and energy-efficient in-memory computing architecture based on SOT-MRAM

In this paper, we propose a novel Spin Orbit Torque Magnetic Random Access Memory (SOT-MRAM) array design that could either work as non-volatile memory or implement a reconfigurable in-memory logic (AND/OR/XOR) without addon logic circuits to memory chip as in conventional logic-in-memory designs. The computed logic output could be simply read out like a typical MRAM bit-cell through the modified memory peripheral circuits. Such intrinsic in-memory logic could be used to process data locally to greatly reduce power-hungry and long distance data communication in conventional Von Neumann computing systems. In this work, we further employ in-memory data encryption using Advanced Encryption Standard (AES) algorithm as a case study to demonstrate the efficiency of the proposed design. The device to architecture co-simulation results show that the proposed in-memory data encryption design can achieve 71.2% and 17.3% lower energy consumption compared to CMOS-ASIC and recent Domain Wall (DW)-AES implementations, respectively. Furthermore, it shows ∼ 33% reduction in area compared to DW-AES.

[1]  Kaushik Roy,et al.  Spin-Transfer Torque Memories: Devices, Circuits, and Systems , 2016, Proceedings of the IEEE.

[2]  Chip-Hong Chang,et al.  DW-AES: A Domain-Wall Nanowire-Based AES for High Throughput and Energy-Efficient Data Encryption in Non-Volatile Memory , 2016, IEEE Transactions on Information Forensics and Security.

[3]  Anand Raghunathan,et al.  Computing in Memory With Spin-Transfer Torque Magnetic RAM , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  H. Ohno,et al.  A spin-orbit torque switching scheme with collinear magnetic easy axis and current configuration. , 2016, Nature nanotechnology.

[5]  Cong Xu,et al.  Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Shoji Ikeda,et al.  Dependence of Giant Tunnel Magnetoresistance of Sputtered CoFeB/MgO/CoFeB Magnetic Tunnel Junctions on MgO Barrier Thickness and Annealing Temperature , 2005 .

[7]  Mehdi Baradaran Tahoori,et al.  Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing , 2016, IEEE Transactions on Multi-Scale Computing Systems.

[8]  Kaushik Roy,et al.  A framework for simulating hybrid MTJ/CMOS circuits: Atoms to system approach , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[9]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Z. Abid,et al.  Efficient CMOL Gate Designs for Cryptography Applications , 2009, IEEE Transactions on Nanotechnology.

[11]  Kaushik Roy,et al.  High Performance and Energy-Efficient On-Chip Cache Using Dual Port (1R/1W) Spin-Orbit Torque MRAM , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[12]  Marjana Lezaic,et al.  Half-metallic ferromagnets for magnetic tunnel junctions by ab initio calculations , 2005 .

[13]  D. Ralph,et al.  Spin-torque ferromagnetic resonance induced by the spin Hall effect. , 2010, Physical review letters.

[14]  Jun Wang,et al.  Energy-Aware Adaptive Restore Schemes for MLC STT-RAM Cache , 2017, IEEE Transactions on Computers.

[15]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[16]  William Stallings,et al.  THE ADVANCED ENCRYPTION STANDARD , 2002, Cryptologia.

[17]  G. Huang,et al.  An Energy-Efficient Nonvolatile In-Memory Computing Architecture for Extreme Learning Machine by Domain-Wall Nanowire Devices , 2015, IEEE Transactions on Nanotechnology.

[18]  A. Anane,et al.  Nearly total spin polarization in La2/3Sr1/3MnO3 from tunneling experiments , 2003 .

[19]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[20]  Yiming Huai,et al.  Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects , 2008 .

[21]  D. Ralph,et al.  Spin transfer torque devices utilizing the giant spin Hall effect of tungsten , 2012, 1208.1711.

[22]  G Autès,et al.  Strong enhancement of the tunneling magnetoresistance by electron filtering in an Fe/MgO/Fe/GaAs(001) junction. , 2010, Physical review letters.

[23]  Mehdi Baradaran Tahoori,et al.  Architectural aspects in design and analysis of SOT-based memories , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[24]  D. Ralph,et al.  Spin-Torque Switching with the Giant Spin Hall Effect of Tantalum , 2012, Science.

[25]  B. Hoefflinger ITRS: The International Technology Roadmap for Semiconductors , 2011 .

[26]  Tao Zhang,et al.  PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[27]  Kaushik Roy,et al.  Write-optimized reliable design of STT MRAM , 2012, ISLPED '12.

[28]  A. Fert,et al.  Giant spin Hall effect induced by skew scattering from bismuth impurities inside thin film CuBi alloys. , 2012, Physical review letters.