FPGA Architecture: Survey and Challenges

Field-Programmable Gate Arrays (FPGAs) have become one of the key digital circuit implementation media over the last decade. A crucial part of their creation lies in their architecture, which governs the nature of their programmable logic functionality and their programmable interconnect. FPGA architecture has a dramatic effect on the quality of the final device's speed performance, area efficiency, and power consumption. This survey reviews the historical development of programmable logic devices, the fundamental programming technologies that the programmability is built on, and then describes the basic understandings gleaned from research on architectures. We include a survey of the key elements of modern commercial FPGA architecture, and look toward future trends in the field.

[1]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[2]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[3]  Henry Hoffmann,et al.  On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.

[4]  Mike Butts,et al.  Synchronization through Communication in a Massively Parallel Processor Array , 2007, IEEE Micro.

[5]  Elaheh Bozorgzadeh,et al.  Single-Event-Upset (SEU) Awareness in FPGA Routing , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[6]  Gang Wang,et al.  Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems , 2007, 2007 IEEE Symposium on Security and Privacy (SP '07).

[7]  M. Butts,et al.  A Structural Object Programming Model, Architecture, Chip and Tools for Reconfigurable Computing , 2007, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007).

[8]  Narayanan Vijaykrishnan,et al.  Assessing Carbon Nanotube Bundle Interconnect for Future FPGA Architectures , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Marco D. Santambrogio,et al.  SEU mitigation for sram-based fpgas through dynamic partial reconfiguration , 2007, GLSVLSI '07.

[10]  Mingjie Lin,et al.  A routing fabric for monolithically stacked 3D-FPGA , 2007, FPGA '07.

[11]  Kia Bazargan,et al.  Variation-aware routing for FPGAs , 2007, FPGA '07.

[12]  Peter Y. K. Cheung,et al.  Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis , 2007, FPGA '07.

[13]  Hanpei Koike,et al.  Performance and yield enhancement of FPGAs with within-die variation using multiple configurations , 2007, FPGA '07.

[14]  Peter Y. K. Cheung,et al.  Within-die delay variability in 90nm FPGAs and beyond , 2006, 2006 IEEE International Conference on Field Programmable Technology.

[15]  Jonathan Rose,et al.  Enhancing the area-efficiency of FPGAs with hard circuits using shadow clusters , 2006, 2006 IEEE International Conference on Field Programmable Technology.

[16]  Xin Jia,et al.  Studying a GALS FPGA Architecture Using a Parameterized Automatic Design Flow , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[17]  Jason Cong,et al.  FPGA Design Automation: A Survey , 2006, Found. Trends Electron. Des. Autom..

[18]  Jinjun Xiong,et al.  FPGA Performance Optimization Via Chipwise Placement Considering Process Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[19]  Farid N. Najm,et al.  An adaptive FPGA architecture with process variation compensation and reduced leakage , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[20]  G.M. Swift,et al.  Single Event Effects Test Results for Advanced Field Programmable Gate Arrays , 2006, 2006 IEEE Radiation Effects Data Workshop.

[21]  Jonathan Rose,et al.  Using bus-based connections to improve field-programmable gate-array density for implementing datapath circuits , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Wayne Luk,et al.  Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs , 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

[23]  Mingjie Lin,et al.  Performance Benefits of Monolithically Stacked 3-D FPGA , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Steven J. E. Wilton,et al.  FPGA clock network architecture: flexibility vs. area and power , 2006, FPGA '06.

[26]  Dmitri B. Strukov,et al.  A reconfigurable architecture for hybrid CMOS/Nanodevice circuits , 2006, FPGA '06.

[27]  Karl S. Hemmert,et al.  Embedded floating-point units in FPGAs , 2006, FPGA '06.

[28]  Anthony J. Yu,et al.  FPGA defect tolerance: impact of granularity , 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

[29]  Jason Cong,et al.  Power modeling and characteristics of field programmable gate arrays , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  G. Lemieux,et al.  Defect-tolerant FPGA switch block and connection block with fine-grain redundancy for yield enhancement , 2005, International Conference on Field Programmable Logic and Applications, 2005..

[31]  Kazutoshi Kobayashi,et al.  A yield and speed enhancement scheme under within-die variations on 90nm LUT array , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[32]  J. Tyhach,et al.  A 90-nm FPGA I/O buffer design with 1.6-Gb/s data rate for source-synchronous system and 300-MHz clock rate for external memory interface , 2005, IEEE Journal of Solid-State Circuits.

[33]  André DeHon,et al.  Nanowire-based programmable architectures , 2005, JETC.

[34]  Fei Li,et al.  Device and architecture co-optimization for FPGA power reduction , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[35]  Narayanan Vijaykrishnan,et al.  Exploring technology alternatives for nano-scale FPGA interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[36]  D. Strukov,et al.  CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices , 2005 .

[37]  Yan Lin,et al.  FPGA device and architecture evaluation considering process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[38]  Robert Baumann,et al.  Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.

[39]  T. Sato,et al.  Implementation of dynamically reconfigurable processor DAPDNA-2 , 2005, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)..

[40]  Vaughn Betz,et al.  The Stratix II logic and routing architecture , 2005, FPGA '05.

[41]  Kia Bazargan,et al.  HARP: hard-wired routing pattern FPGAs , 2005, FPGA '05.

[42]  Fei Li,et al.  Power modeling and architecture evaluation for FPGA with novel circuits for Vdd programmability , 2005, FPGA '05.

[43]  André DeHon,et al.  Design of programmable interconnect for sublithographic programmable logic arrays , 2005, FPGA '05.

[44]  Peter Y. K. Cheung,et al.  Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs , 2005, FPGA '05.

[45]  R. Saleh,et al.  Design considerations for soft embedded programmable logic cores , 2005, IEEE Journal of Solid-State Circuits.

[46]  Anthony J. Yu,et al.  Directional and single-driver wires in FPGA interconnect , 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

[47]  David M. Lewis,et al.  MAX II: A low-cost, high-performance LUT-based CPLD , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[48]  F. Najm,et al.  A novel low-power FPGA routing switch , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[49]  Fei Li,et al.  Vdd programmability to reduce FPGA interconnect power , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[50]  John Teifel,et al.  An asynchronous dataflow FPGA architecture , 2004, IEEE Transactions on Computers.

[51]  Mahmut T. Kandemir,et al.  A Dual-VDD Low Power FPGA Architecture , 2004, FPL.

[52]  C.L. Zhou,et al.  Optimal MST-based graph algorithm on FPGA segmentation design , 2004, 2004 International Conference on Communications, Circuits and Systems (IEEE Cat. No.04EX914).

[53]  Yu-Wen Tsai,et al.  Structured ASIC, evolution or revolution? , 2004, ISPD '04.

[54]  Deepak D. Sherlekar Design considerations for regular fabrics , 2004, ISPD '04.

[55]  N. Maeda,et al.  Design methodology and tools for NEC electronics' structured ASIC ISSP , 2004, ISPD '04.

[56]  John Wawrzynek,et al.  The SFRA: a corner-turn FPGA architecture , 2004, FPGA '04.

[57]  John Teifel,et al.  Highly pipelined asynchronous FPGAs , 2004, FPGA '04.

[58]  Arifur Rahman,et al.  Evaluation of low-leakage design techniques for field programmable gate arrays , 2004, FPGA '04.

[59]  Michael J. Wilson,et al.  Nanowire-based sublithographic programmable logic arrays , 2004, FPGA '04.

[60]  Bo-Cheng Lai,et al.  Leakage power analysis of a 90nm FPGA , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[61]  Michael Chan,et al.  Cyclone /spl trade/: a low-cost, high-performance FPGA , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[62]  Yu-Liang Wu,et al.  On optimal hyperuniversal and rearrangeable switch box designs , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[63]  Guy Lemieux,et al.  Design of interconnection networks for programmable logic , 2003 .

[64]  Seth Copen Goldstein,et al.  Molecular electronics: from devices and interconnect to circuits and architecture , 2003, Proc. IEEE.

[65]  Peter Y. K. Cheung,et al.  Globally Asynchronous Locally Synchronous FPGA Architectures , 2003, FPL.

[66]  Lawrence T. Pileggi,et al.  An architectural exploration of via patterned gate arrays , 2003, ISPD '03.

[67]  Vaughn Betz,et al.  The stratixπ routing and logic architecture , 2003, FPGA '03.

[68]  Steven J. E. Wilton,et al.  Implementing logic in FPGA memory arrays: heterogeneous memory architectures , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

[69]  Michael Butts,et al.  Molecular electronics: devices, systems and tools for gigagate, gigabit chips , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[70]  Paul S. Zuchowski,et al.  A hybrid ASIC and FPGA architecture , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[71]  Guy Lemieux,et al.  Analytical Framework for Switch Block Design , 2002, FPL.

[72]  Laurent Fesquet,et al.  Implementing Asynchronous Circuits on LUT Based FPGAs , 2002, FPL.

[73]  A. Tsai,et al.  PipeRench: A virtualized programmable datapath in 0.18 micron technology , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[74]  Jonathan Rose,et al.  Nearest neighbour interconnect architecture in deep submicron FPGAs , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[75]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[76]  ウェルズ,ロバート・ダブリュ,et al.  Application specific testing methods for the programmable logic device , 2002 .

[77]  Malgorzata Marek-Sadowska,et al.  FPGA interconnect planning , 2002, SLIP '02.

[78]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[79]  P. McEuen,et al.  Single-walled carbon nanotube electronics , 2002 .

[80]  Guy Lemieux,et al.  Circuit design of routing switches , 2002, FPGA '02.

[81]  Li Shang,et al.  Dynamic power consumption in Virtex™-II FPGA family , 2002, FPGA '02.

[82]  Jim Park,et al.  Interconnect enhancements for a high-speed PLD architecture , 2002, FPGA '02.

[83]  Steven J. E. Wilton,et al.  On the sensitivity of FPGA architectural conclusions to experimental assumptions, tools, and techniques , 2002, FPGA '02.

[84]  Yao-Wen Chang,et al.  Matching-based algorithm for FPGA channel segmentation design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[85]  Edward J. McCluskey,et al.  Column-Based Precompiled Configuration Techniques for FPGA , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).

[86]  Kiyoshi Oguri,et al.  PCA-1: a fully asynchronous, self-reconfigurable LSI , 2001, Proceedings Seventh International Symposium on Asynchronous Circuits and Systems. ASYNC 2001.

[87]  Stephen Dean Brown,et al.  The case for registered routing switches in field programmable gate arrays , 2001, FPGA '01.

[88]  Jonathan Rose,et al.  Mixing buffers and pass transistors in FPGA routing architectures , 2001, FPGA '01.

[89]  Guy Lemieux,et al.  Using sparse crossbars within LUT , 2001, FPGA '01.

[90]  Hideo Ito,et al.  Design of switching blocks tolerating defects/faults in FPGA interconnection resources , 2000, Proceedings IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[91]  S. G. Duvall,et al.  Statistical circuit modeling and optimization , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.

[92]  Boon-Jin Ang,et al.  A million gate PLD with 622 MHz I/O interface, multiple PLLs and high performance embedded CAM , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[93]  Seth Copen Goldstein,et al.  PipeRench: A Reconfigurable Architecture and Compiler , 2000, Computer.

[94]  Scott Hauck,et al.  High-performance carry chains for FPGA's , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[95]  J. Rose,et al.  The effect of LUT and cluster size on deep-submicron FPGA performance and density , 2000, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[96]  N. Cohen,et al.  Soft error considerations for deep-submicron CMOS circuit applications , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[97]  Steven J. E. Wilton,et al.  A New Switch Block for Segmented FPGAs , 1999, FPL.

[98]  George Varghese,et al.  The design of a low energy FPGA , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[99]  Vaughn Betz,et al.  Circuit design, transistor sizing and wire layout of FPGA interconnect , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[100]  Stephen Dean Brown,et al.  The Hybrid Field-Programmable Architecture , 1999, IEEE Des. Test Comput..

[101]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.

[102]  Jean Vuillemin,et al.  A reconfigurable arithmetic array for multimedia applications , 1999, FPGA '99.

[103]  Vaughn Betz,et al.  FPGA routing architecture: segmentation and buffering to optimize speed and density , 1999, FPGA '99.

[104]  George Varghese,et al.  HSRA: high-speed, hierarchical synchronous reconfigurable array , 1999, FPGA '99.

[105]  Steven J. E. Wilton,et al.  Implementing logic in FPGA embedded memory arrays: architectural implications , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[106]  Jason Cong,et al.  Delay-optimal technology mapping for FPGAs with heterogeneous LUTs , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[107]  Miodrag Potkonjak,et al.  Efficiently supporting fault-tolerance in FPGAs , 1998, FPGA '98.

[108]  Scott Hauck,et al.  High-performance carry chains for FPGAs , 1998, FPGA '98.

[109]  Steven J. E. Wilton,et al.  SMAP: heterogeneous technology mapping for area reduction in FPGAs with embedded memory arrays , 1998, FPGA '98.

[110]  Jason Cong,et al.  Technology mapping for FPGAs with embedded memory blocks , 1998, FPGA '98.

[111]  Richard C. Li,et al.  A novel predictable segmented FPGA routing architecture , 1998, FPGA '98.

[112]  Piero Olivo,et al.  Flash memory cells-an overview , 1997, Proc. IEEE.

[113]  R. Lambertson,et al.  Characterization and modeling of a highly reliable metal-to-metal antifuse for high-performance and high-density field-programmable gate arrays , 1997, 1997 IEEE International Reliability Physics Symposium Proceedings. 35th Annual.

[114]  STEPHEN BROWN,et al.  Minimizing FPGA Interconnect Delays , 1996, IEEE Des. Test Comput..

[115]  Carl Ebeling,et al.  RaPiD - Reconfigurable Pipelined Datapath , 1996, FPL.

[116]  R. Payne,et al.  Asynchronous FPGA architectures , 1996 .

[117]  Jonathan Rose,et al.  CALL FOR ARTICLES IEEE Design & Test of Computers Special Issue on Microprocessors , 1996 .

[118]  Stephen Dean Brown,et al.  Hybrid FPGA Architecture , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.

[119]  Rob Payne,et al.  Self-Timed FPGA Systems , 1995, FPL.

[120]  Steven J. E. Wilton,et al.  An SRAM-programmable field-configurable memory , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[121]  Nam Sung Woo,et al.  Revisiting the Cascade Circuit in Logic Cells of Lookup Table Based FPGAs , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[122]  Steven J. E. Wilton,et al.  Architecture of Centralized Field-Configurable Memory , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[123]  David M. Lewis,et al.  Routing architectures for hierarchical field programmable gate arrays , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[124]  Carl Ebeling,et al.  An FPGA for implementing asynchronous circuits , 1994, IEEE Design & Test of Computers.

[125]  K. Gordon,et al.  Conducting filament of the programmed metal electrode amorphous silicon antifuse , 1993, Proceedings of IEEE International Electron Devices Meeting.

[126]  Jonathan Rose,et al.  A stochastic model to predict the routability of field-programmable gate arrays , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[127]  Yao-Wen Chang,et al.  Switch module design with application to two-dimensional segmentation design , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[128]  Massoud Pedram,et al.  Architecture and routability analysis for row-based FPGAs , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[129]  E. Hamdy,et al.  Antifuse field programmable gate arrays , 1993, Proc. IEEE.

[130]  A. El Gamal,et al.  Architecture of field-programmable gate arrays , 1993, Proc. IEEE.

[131]  J. Chen,et al.  A modular 0.8 mu m technology for high performance dielectric antifuse field programmable gate arrays , 1993, 1993 International Symposium on VLSI Technology, Systems, and Applications Proceedings of Technical Papers.

[132]  Yasuo Kawahara,et al.  Introducing redundancy in field programmable gate arrays , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[133]  Jonathan Rose,et al.  Advantages of heterogeneous logic block architecture for FPGAs , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[134]  J. Birkner,et al.  A very-high-speed field-programmable gate array using metal-to-metal antifuse programmable elements , 1992 .

[135]  M. Mehendale,et al.  Optimization Of Channel Segmentation For Channelled Architecture FPGAs , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[136]  L. Cooke,et al.  An MPGA Compatible FPGA Architecture , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[137]  A. El Gamal,et al.  PLA-based FPGA Area Versus Cell C+ Granularity , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[138]  A. El Gamal,et al.  FPGA performance versus cell granularity , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

[139]  P. Alfke,et al.  Third-Generation Architecture Boosts Speed And Density Of Field-Programmable Gate Arrays , 1991, Electro International, 1991.

[140]  Stephen D. Brown,et al.  Flexibility of interconnection structures for field-programmable gate arrays , 1991 .

[141]  Jonathan Rose,et al.  Architecture of field-programmable gate arrays: the effect of logic block functionality on area efficiency , 1990 .

[142]  V. Roychowdhury,et al.  Segmented channel routing , 1990, 27th ACM/IEEE Design Automation Conference.

[143]  Jonathan Rose,et al.  The effect of logic block complexity on area of programmable gate arrays , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[144]  Sau C. Wong,et al.  A 5000-gate CMOS EPLD with multiple logic and interconnect arrays , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[145]  E. Hamdy,et al.  Dielectric based antifuse for logic and memory ICs , 1988, Technical Digest., International Electron Devices Meeting.

[146]  A. El Gamal,et al.  An architecture for electrically configurable gate arrays , 1988, Proceedings of the IEEE 1988 Custom Integrated Circuits Conference.

[147]  Chin-Long Wey,et al.  On the design of a redundant programmable logic array (RPLA) , 1987 .

[148]  W. Donath Wire length distribution for placements of computer logic , 1981 .

[149]  Abbas El Gamal,et al.  Two-dimensional stochastic model for interconnections in master-slice integrated circuits , 1981 .

[150]  W. Krauss,et al.  A two-transistor SIMOS EAROM cell , 1980, IEEE Journal of Solid-State Circuits.

[151]  Te-Long Chiu,et al.  An electrically alterable nonvolatile memory cell using a floating-gate structure , 1979, IEEE Journal of Solid-State Circuits.

[152]  Roy L. Russo,et al.  On a Pin Versus Block Relationship For Partitions of Logic Graphs , 1971, IEEE Transactions on Computers.

[153]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[154]  Robert C. Minnick,et al.  A Survey of Microcellular Research , 1967, JACM.

[155]  Karuna K. Maitra,et al.  Cascaded Switching Networks of Two-Input Flexible Cells , 1962, IRE Trans. Electron. Comput..

[156]  Derek Curd,et al.  Power Consumption in 65 nm FPGAs , 2007 .

[157]  Michael Chan,et al.  CycloneTM: A Low-Cost, High-Performance FPGA , 2005 .

[158]  Jason Cong,et al.  Technology mapping and architecture evalution for k/m-macrocell-based FPGAs , 2005, TODE.

[159]  Peter Thomas,et al.  An architecture for asynchronous FPGAs , 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

[160]  P. Sundararajan,et al.  Consequences and Categories of SRAM FPGA Configuration SEUs , 2003 .

[161]  Altera Apex ii programmable logic device family data sheet , 2002 .

[162]  David G. Chinnery,et al.  Closing the Gap Between ASIC and Custom - Tools and Techniques for High-Performance ASIC Design , 2002 .

[163]  David Lewis,et al.  Using Sparse Crossbars within LUT Clusters , 2001 .

[164]  Edward J. McCluskey,et al.  Column-Based Precompiled Configurating Techniques for FPGA Fault Tolerance , 2001 .

[165]  Carl Carmichael,et al.  Triple Module Redundancy Design Techniques for Virtex FPGAs, Application Note 197 , 2001 .

[166]  Elias Ahmed,et al.  THE EFFECT OF LOGIC BLOCK GRANULARITY ON DEEP-SUBMICRON FPGA PERFORMANCE AND DENSITY , 2001 .

[167]  Steven J. E. Wilton,et al.  Heterogeneous technology mapping for area reduction in FPGAs withembedded memory arrays , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[168]  I. Xilinx,et al.  Virtex? 2. 5v field programmable gate arrays , 2000 .

[169]  Mark Horowitz,et al.  High-speed electrical signaling: overview and limitations , 1998, IEEE Micro.

[170]  Robust SEU Mitigation With Stratix III FPGAs , 1998 .

[171]  Vaughn Betz,et al.  How Much Logic Should Go in an FPGA Logic Block? , 1998, IEEE Des. Test Comput..

[172]  Ashok K. Sharma,et al.  Programmable logic handbook , 1998 .

[173]  Steven J. E. Wilton,et al.  Architectures and algorithms for field-programmable gate arrays with embedded memory , 1997 .

[174]  Robert J. Lipp,et al.  A HIGH DENSITY FLASH MEMORY FPGA FAMILY , 1996 .

[175]  Don Cherepacha,et al.  DP-FPGA: An FPGA Architecture Optimized for Datapaths , 1996, VLSI Design.

[176]  Chak-Kuen Wong,et al.  Universal switch modules for FPGA design , 1996, TODE.

[177]  Derek F. Wong,et al.  Universal Switch-Module Design for Symmetric-Array-Based FPGAs , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.

[178]  Malgorzata Marek-Sadowska,et al.  Orthogonal Greedy Coupling - A New Optimization Approach to 2-D FPGA Routing , 1995, 32nd Design Automation Conference.

[179]  S. Chiang,et al.  Metal-to-metal antifuses with very thin silicon dioxide films , 1994, IEEE Electron Device Letters.

[180]  S. Chiang,et al.  Antifuse structure comparison for field programmable gate arrays , 1992, 1992 International Technical Digest on Electron Devices Meeting.

[181]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[182]  R. Cuppens,et al.  An EEPROM for microprocessors and custom logic , 1984, 1984 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[183]  P. Sharp,et al.  Redundancy techniques for fast static RAMs , 1981, 1981 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[184]  D. Frohman-Bentchkowsky,et al.  A fully-decoded 2048-bit electrically-programmable MOS ROM , 1971 .

[185]  M. Hutton,et al.  Fracturable FPGA Logic Elements , 2022 .