LifeSim: A lifetime reliability simulator for manycore systems
暂无分享,去创建一个
Amit Kumar Singh | Vivek Chaturvedi | Vijeta Rathore | Siew-Kei Lam | R Rohith | Srikanthan Thambipillai
[1] Qiang Xu,et al. Lifetime reliability-aware task allocation and scheduling for MPSoC platforms , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[2] S. Zafar,et al. A Model for Negative Bias Temperature Instability in Oxide and High κ pFETs , 2007, 2007 IEEE International Conference on Integrated Circuit Design and Technology.
[3] Lieven Eeckhout,et al. Sniper: scalable and accurate parallel multi-core simulation , 2012 .
[4] Christine A. Shoemaker,et al. Scalable thread scheduling and global power management for heterogeneous many-core architectures , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[5] Hannu Tenhunen,et al. A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[6] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[7] Pradip Bose,et al. Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[8] B.H. Lee,et al. A model for negative bias temperature instability (NBTI) in oxide and high /spl kappa/ pFETs 13/spl times/-C6D8C7F5F2 , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..
[9] Sheldon X.-D. Tan,et al. Physics-based electromigration assessment for power grid networks , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[10] Lei He,et al. Temperature-Aware Performance and Power Modeling , 2004 .
[11] Sudhakar Yalamanchili,et al. Energy Introspector : Simulation Infrastructure for Power , Temperature , and Reliability Modeling in Manycore Processors , 2011 .
[12] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[13] Kevin Skadron,et al. HotSpot 6.0: Validation, Acceleration and Extension , 2015 .
[14] Wan Yeon Lee,et al. Energy-Saving DVFS Scheduling of Multiple Periodic Real-Time Tasks on Multi-core Processors , 2009, 2009 13th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications.
[15] Jun Wang,et al. Manifold: A parallel simulation framework for multicore systems , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[16] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[17] Bin Liu,et al. A 5.8 pJ/Op 115 billion ops/sec, to 1.78 trillion ops/sec 32nm 1000-processor array , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
[18] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[19] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[20] Luca Benini,et al. Workload and user experience-aware Dynamic Reliability Management in multicore processors , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[21] Siddharth Garg,et al. Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).