On the Power Management of Simultaneous Multithreading Processors
暂无分享,去创建一个
[1] Mohamed I. Elmasry,et al. Design and optimization of multithreshold CMOS (MTCMOS) circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] D. Yeung. Learning-Based SMT Processor Resource Distribution via Hill-Climbing , 2006, ISCA 2006.
[3] Dean M. Tullsen,et al. Simultaneous multithreading: Maximizing on-chip parallelism , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[4] Jeffrey Su,et al. A dual-core 64-bit ultraSPARC microprocessor for dense server applications , 2004, IEEE Journal of Solid-State Circuits.
[5] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[6] Susan J. Eggers,et al. Thread-Sensitive Scheduling for SMT Processors , 2000 .
[7] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[8] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[9] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[10] Krste Asanovic,et al. Power-optimal pipelining in deep submicron technology , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[11] Mohamed I. Elmasry,et al. A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Kaushik Roy,et al. Low voltage low power CMOS design techniques for deep submicron ICs , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[13] Mohamed I. Elmasry,et al. Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[14] Kevin Skadron,et al. Temperature-Aware Computer Systems: Opportunities and Challenges , 2003, IEEE Micro.
[15] Dean M. Tullsen,et al. Fellowship - Simulation And Modeling Of A Simultaneous Multithreading Processor , 1996, Int. CMG Conference.
[16] James Donald,et al. Leveraging Simultaneous Multithreading for Adaptive Thermal Control , 2005 .