An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS
暂无分享,去创建一个
S. Borkar | S.R. Vangal | J. Howard | G. Ruhl | S. Dighe | H. Wilson | J. Tschanz | D. Finan | A. Singh | T. Jacob | S. Jain | V. Erraguntla | C. Roberts | Y. Hoskote | N. Borkar | S. Borkar | J. Tschanz | S. Dighe | Y. Hoskote | N. Borkar | S. Vangal | H. Wilson | Tiju Jacob | V. Erraguntla | G. Ruhl | Shailendra Jain | D. Finan | J. Howard | Arvind Singh | Clark Roberts
[1] P. Bai,et al. A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[2] J. Tukey,et al. An algorithm for the machine calculation of complex Fourier series , 1965 .
[3] H. Wilson,et al. A six-port 30-GB/s nonblocking router component using point-to-point simultaneous bidirectional signaling for high-bandwidth interconnects , 2001, IEEE J. Solid State Circuits.
[4] J. Tschanz,et al. Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[5] Henry Hoffmann,et al. The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.
[6] James Tschanz,et al. Comparative delay and energy of single edge-triggered & dual edge-triggered pulsed flip-flops for high-performance microprocessors , 2001, ISLPED '01.
[7] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[8] A. Alvandpour,et al. A 6.2-GFlops Floating-Point Multiply-Accumulator With Conditional Normalization , 2006, IEEE Journal of Solid-State Circuits.
[9] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[10] A. Alvandpour,et al. A 5.1GHz 0.34mm2 Router for Network-on-Chip Applications , 2007, 2007 IEEE Symposium on VLSI Circuits.
[11] M. Khellah,et al. A 256-Kb Dual-${V}_{\rm CC}$ SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor , 2007, IEEE Journal of Solid-State Circuits.
[12] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[13] T. Mohsenin,et al. An asynchronous array of simple processors for dsp applications , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[14] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[15] A. Alvandpour,et al. A six-port 57GB/s double-pumped nonblocking router core , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[16] Guido D. Salvucci,et al. Ieee standard for binary floating-point arithmetic , 1985 .
[17] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[18] F. Klass. Semi-dynamic and dynamic flip-flops with embedded logic , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[19] Jaehyuk Huh,et al. Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture , 2003, IEEE Micro.