A new SBST algorithm for testing the register file of VLIW processors

Feature size reduction drastically influences permanent faults occurrence in nanometer technology devices. Among the various test techniques, Software-Based Self-Test (SBST) approaches have been demonstrated to be an effective solution for detecting logic defects, although achieving complete fault coverage is a challenging issue due to the functional-based nature of this methodology. When VLIW processors are considered, standard processor-oriented SBST approaches result deficient since not able to cope with most of the failures affecting VLIW multiple parallel domains. In this paper we present a novel SBST algorithm specifically oriented to test the register files of VLIW processors. In particular, our algorithm addresses the cross-bar switch architecture of the VLIW register file by completely covering the intrinsic faults generated between the multiple computational domains. Fault simulation campaigns comparing previously developed methods with our solution demonstrate its effectiveness. The results show that the developed algorithm achieves a 97.12% fault coverage which is about twice better than previously developed SBST algorithms. Further advantages of our solution are the limited overhead in terms of execution cycles and memory occupation.

[1]  Mario Schölzel HW/SW co-detection of transient and permanent faults with fast recovery in statically scheduled data paths , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[2]  Paolo Faraboschi,et al.  Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools , 2004 .

[3]  Heinrich Theodor Vierhaus,et al.  A new hierarchical built-in self-test with on-chip diagnosis for VLIW processors , 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.

[4]  Stephan Wong,et al.  Dynamically reconfigurable register file for a softcore VLIW processor , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[5]  Jiangjiang Liu,et al.  Analysis and Characterization of Intel Itanium Instruction Bundles for Improving VLIW Processor Performance , 2006, First International Multi-Symposiums on Computer and Computational Sciences (IMSCCS'06).

[6]  Matteo Sonza Reorda,et al.  Microprocessor Software-Based Self-Testing , 2010, IEEE Design & Test of Computers.

[7]  Sujit Dey,et al.  Embedded Software-Based Self-Test for Programmable Core-Based Designs , 2002, IEEE Des. Test Comput..

[8]  Heinrich Theodor Vierhaus,et al.  A software-based self-test and hardware reconfiguration solution for VLIW processors , 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.

[9]  Dimitris Gizopoulos,et al.  Hybrid-SBST Methodology for Efficient Testing of Processor Cores , 2008, IEEE Design & Test of Computers.

[10]  Alex K. Jones,et al.  An FPGA-based VLIW processor with custom hardware execution , 2005, FPGA '05.

[11]  Dimitris Gizopoulos,et al.  Software-based self-testing of embedded processors , 2005, IEEE Transactions on Computers.

[12]  Geoffrey Brown,et al.  ρ-VEX: A reconfigurable and extensible softcore VLIW processor , 2008, 2008 International Conference on Field-Programmable Technology.

[13]  Mario Scholzel HW/SW co-detection of transient and permanent faults with fast recovery in statically scheduled data paths , 2010, DATE 2010.

[14]  Yervant Zorian,et al.  Instruction-Based Self-Testing of Processor Cores , 2003, J. Electron. Test..