Single-Ended Schmitt-Trigger-Based Robust Low-Power SRAM Cell

This paper presents a Schmitt-trigger-based single-ended 11T SRAM cell, which significantly improves read and write static noise margin (SNM) and consumes low power. Simulation results show that the cell also achieves the lowest leakage power dissipation among the cells considered for comparison. We also investigate the impact of process, voltage, and temperature variations on various performance parameters, such as hold SNM, read SNM, write margin, immunity to half-select issue, ION/IOFF ratio of read path, and leakage power of the cell; Monte Carlo simulation results confirm the robustness of the proposed cell toward these issues. Layout drawn in a 45-nm technology rule shows that the proposed cell occupies 2.02× greater area as compared with 6T SRAM cells. However, 6.9× higher ION/IOFF ratio of the read path of the proposed cell as compared with 6T cell holds potential to significantly subside the area overhead. A new figure of merit that comprehensively captures stability, delay, power dissipation, and area of an SRAM cell is also proposed. Based on the proposed metric, we observe that the proposed cell outperforms all, but one of the SRAM cells considered in this paper.

[1]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[2]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.

[3]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[4]  Magdy A. Bayoumi,et al.  Low-Power Cache Design Using 7T SRAM Cell , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[5]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[6]  Bo Zhai,et al.  A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM , 2008, IEEE Journal of Solid-State Circuits.

[7]  T. Saraya,et al.  Comparison and statistical analysis of four write stability metrics in bulk CMOS static random access memory cells , 2015 .

[8]  Bruno Allard,et al.  Sub-threshold 10T SRAM bit cell with read/write XY selection , 2015 .

[9]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[10]  W. Dehaene,et al.  Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies , 2006, IEEE Journal of Solid-State Circuits.

[11]  Narayanan Vijaykrishnan,et al.  Low-leakage robust SRAM cell design for sub-100nm technologies , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[12]  Mohammad Sharifkhani,et al.  A Subthreshold Symmetric SRAM Cell With High Read Stability , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[13]  Volkan Kursun,et al.  Low power and robust 7T dual-Vt SRAM circuit , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[14]  S. Dasgupta,et al.  Device and Circuit Co-Design Robustness Studies in the Subthreshold Logic for Ultralow-Power Applications for 32 nm CMOS , 2010, IEEE Transactions on Electron Devices.

[15]  Zhiyu Liu,et al.  Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Ching-Te Chuang,et al.  High-performance SRAM in nanoscale CMOS: Design challenges and techniques , 2007, 2007 IEEE International Workshop on Memory Technology, Design and Testing.

[17]  Yong Li,et al.  Single-ended, robust 8T SRAM cell for low-voltage operation , 2013, Microelectron. J..

[18]  Kaushik Roy,et al.  Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Yong-Bin Kim,et al.  Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability , 2010, Integr..

[20]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[21]  Jeren Samandari-Rad,et al.  Confronting the Variability Issues Affecting the Performance of Next-Generation SRAM Design to Optimize and Predict the Speed and Yield , 2014, IEEE Access.

[22]  Ming-Hsien Tu,et al.  40 nm Bit-Interleaving 12T Subthreshold SRAM With Data-Aware Write-Assist , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[23]  Yuan Xie,et al.  Low-leakage robust SRAM cell design for sub-100nm technologies , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[24]  A.P. Chandrakasan,et al.  A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.

[25]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[26]  Ming-Chien Tsai,et al.  Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[27]  Chien-Yu Lu,et al.  A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing , 2012, IEEE Journal of Solid-State Circuits.

[28]  Sied Mehdi Fakhraie,et al.  A 256-kb 9T Near-Threshold SRAM With 1k Cells per Bitline and Enhanced Write and Read Operations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  M. Hasan,et al.  Leakage Characterization of 10T SRAM Cell , 2012, IEEE Transactions on Electron Devices.