A 25-Gb/s, 2.1-pJ/bit, Fully Integrated Optical Receiver With a Baud-Rate Clock and Data Recovery

This paper presents the design of a single-chip, 25-Gb/s optical receiver comprising of a front-end amplifier, a clock and data recovery (CDR), and a 1:4 demultiplexer. Incorporating with an integrating-type receiver front end, a new baud-rate CDR is proposed to achieve both high sensitivity and highly energy-efficient operations. Compared to conventional 2 $\times $ oversampling CDRs that require edge samples for timing adjustment, the baud rate CDR reduces the number of sampling phases by half to save both area and power consumption. In addition, a hybrid loop filter consisting of analog decimation and digital postprocessing is proposed. It greatly relaxes the speed requirement of an all-digital loop filter while keeping the flexibility of a programmable loop bandwidth. By applying a pseudo random bit sequence (PRBS) 231−1 test pattern and using a photo detector whose responsivity is 0.53 A/W, the input sensitivities of the optical receiver at 20 and 25 Gb/s operations are about −13.8 and −8.7 dBm respectively, for a bit error rate (BER) of less than 10−12. The recovered data jitter at the demultiplexer output is about 1.7-ps rms. The measured jitter tolerance (JTOL) exceeds the mask defined by the IEEE 802.3ba standard. Implemented in a 40-nm CMOS process, the chip area is only 0.09 mm2. The energy efficiency of the entire receiver is 2.1 pJ/bit at 25-Gb/s operation.

[1]  Elad Alon,et al.  Design Techniques for a 60-Gb/s 288-mW NRZ Transceiver With Adaptive Equalization and Baud-Rate Clock and Data Recovery in 65-nm CMOS Technology , 2017, IEEE Journal of Solid-State Circuits.

[2]  Azita Emami-Neyestanak,et al.  A 24-Gb/s Double-Sampling Receiver for Ultra-Low-Power Optical Communication , 2013, IEEE Journal of Solid-State Circuits.

[3]  Yue Lu,et al.  6.2 A 60Gb/s 288mW NRZ transceiver with adaptive equalization and baud-rate clock and data recovery in 65nm CMOS technology , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[4]  Behzad Razavi,et al.  A 25-Gb/s 5-mW CMOS CDR/Deserializer , 2013, IEEE Journal of Solid-State Circuits.

[5]  John T. Stonick,et al.  A digital clock and data recovery architecture for multi-gigabit/s binary links , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[6]  Takayuki Shibasaki,et al.  22.7 4×25.78Gb/s retimer ICs for optical links in 0.13μm SiGe BiCMOS , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[7]  Deog-Kyoon Jeong,et al.  A 22 to 26.5 Gb/s Optical Receiver With All-Digital Clock and Data Recovery in a 65 nm CMOS Process , 2015, IEEE Journal of Solid-State Circuits.

[8]  Azita Emami-Neyestanak,et al.  A 90 nm CMOS 16 Gb/s Transceiver for Optical Interconnects , 2008, IEEE Journal of Solid-State Circuits.

[9]  Anthony Chan Carusone,et al.  A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.

[10]  Mounir Meghelli,et al.  A 25 Gb/s burst-mode receiver for low latency photonic switch networks , 2015, 2015 Optical Fiber Communications Conference and Exhibition (OFC).

[11]  Wei-Zen Chen,et al.  A 3.12 pJ/bit, 19–27 Gbps Receiver With 2-Tap DFE Embedded Clock and Data Recovery , 2015, IEEE Journal of Solid-State Circuits.

[12]  Dan Li,et al.  A Low-Noise Design Technique for High-Speed CMOS Optical Receivers , 2014, IEEE Journal of Solid-State Circuits.

[13]  Shreyas Sen,et al.  A 32 Gb/s Bidirectional 4-channel 4 pJ/b Capacitively Coupled Link in 14 nm CMOS for Proximity Communication , 2016, IEEE J. Solid State Circuits.

[14]  B. Razavi,et al.  Analysis and modeling of bang-bang clock and data recovery circuits , 2004, IEEE Journal of Solid-State Circuits.

[15]  Shen-Iuan Liu,et al.  Loop latency reduction technique for all-digital clock and data recovery circuits , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[16]  Toru Yazaki,et al.  A 4× 25-to-28Gb/s 4.9mW/Gb/s −9.7dBm high-sensitivity optical receiver based on 65nm CMOS for board-to-board interconnects , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[17]  Ahmed Elkholy,et al.  A 15Gb/s 1.9pJ/bit sub-baud-rate digital CDR , 2018, 2018 IEEE Custom Integrated Circuits Conference (CICC).

[18]  Anthony Chan Carusone,et al.  A 20 Gb/s CMOS Optical Receiver With Limited-Bandwidth Front End and Local Feedback IIR-DFE , 2016, IEEE J. Solid State Circuits.

[19]  John Wu,et al.  A 78mW 11.8Gb/s serial link transceiver with adaptive RX equalization and baud-rate CDR in 32nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[20]  Takayuki Shibasaki,et al.  A 22.5-to-32-Gb/s 3.2-pJ/b Referenceless Baud-Rate Digital CDR With DFE and CTLE in 28-nm CMOS , 2017, IEEE Journal of Solid-State Circuits.

[21]  Wei-Zen Chen,et al.  A 25 Gb/s 1.13 pJ/b −10.8 dBm Input Sensitivity Optical Receiver in 40 nm CMOS , 2017, IEEE Journal of Solid-State Circuits.