Routing-Free Crosstalk Prediction

Interconnect spacing is getting increasingly smaller in advanced technology nodes, which adversely increases the capacitive coupling of adjacent interconnect wires. It makes crosstalk a significant contributor to signal integrity and timing, and it is now imperative to prevent crosstalk-induced noise and delay issues in the earlier stages of VLSI design flow. Nonetheless, since the crosstalk effect depends primarily on the switching of neighboring nets, accurate crosstalk evaluation is only viable at the late stages of design flow with routing information available, e.g., after detailed routing. There have also been previous efforts in early-stage crosstalk prediction, but they mostly rely on time-expensive trial routing. In this work, we propose a machine learning-based routing-free crosstalk prediction framework. Given a placement, we identify routing and net topology-related features, along with electrical and logical features, which affect crosstalk-induced noise and delay. We then employ machine learning techniques to train the crosstalk prediction models, which can be used to identify crosstalk-critical nets in placement stages. Experimental results demonstrate that the proposed method can instantly classify more than 70% of crosstalk-critical nets after placement with a false-positive rate of less than 2%.

[1]  Andrew B. Kahng,et al.  SI for free: machine learning of interconnect coupling delay and transition effects , 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

[2]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Taghi M. Khoshgoftaar,et al.  An Empirical Study of Learning from Imbalanced Data Using Random Forest , 2007, 19th IEEE International Conference on Tools with Artificial Intelligence(ICTAI 2007).

[4]  Min Zhao,et al.  Layer assignment for crosstalk risk minimization , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[5]  David Z. Pan,et al.  True crosstalk aware incremental placement with noise map , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[6]  Peter Spindler,et al.  Fast and accurate routing demand estimation for efficient routability-driven placement , 2007 .

[7]  Hung-Ming Chen,et al.  Technology mapping with crosstalk noise avoidance , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[8]  Natalia Gimelshein,et al.  PyTorch: An Imperative Style, High-Performance Deep Learning Library , 2019, NeurIPS.

[9]  MenardiGiovanna,et al.  Training and assessing classification rules with imbalanced data , 2014 .

[10]  Yiran Chen,et al.  RouteNet: Routability prediction for Mixed-Size Designs Using Convolutional Neural Network , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Jan Eric Lenssen,et al.  Fast Graph Representation Learning with PyTorch Geometric , 2019, ArXiv.

[12]  Jin-Fuw Lee,et al.  Methods for calculating coupling noise in early design: a comparative analysis , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[13]  Srinivas Katkoori,et al.  Simultaneous Scheduling, Allocation, Binding, Re-Ordering, and Encoding for Crosstalk Pattern Minimization During High–Level Synthesis , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Jure Leskovec,et al.  Inductive Representation Learning on Large Graphs , 2017, NIPS.

[15]  David Blaauw,et al.  Modeling and analysis of crosstalk noise in coupled RLC interconnects , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Gaël Varoquaux,et al.  Scikit-learn: Machine Learning in Python , 2011, J. Mach. Learn. Res..

[17]  Rajendran Panda,et al.  Early probabilistic noise estimation for capacitively coupled interconnects , 2002, SLIP '02.

[18]  Malgorzata Marek-Sadowska,et al.  Incremental delay change due to crosstalk noise , 2002, ISPD '02.

[19]  Saurabh Sinha,et al.  ASAP7: A 7-nm finFET predictive process design kit , 2016, Microelectron. J..

[20]  Wei Chen,et al.  Crosstalk-aware placement , 2004, IEEE Design & Test of Computers.

[21]  Charles J. Alpert,et al.  Buffer insertion for noise and delay optimization , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Chunjie Duan,et al.  Analysis and avoidance of cross-talk in on-chip buses , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.

[23]  Tianqi Chen,et al.  XGBoost: A Scalable Tree Boosting System , 2016, KDD.

[24]  Richard B. Brown,et al.  Crosstalk constrained global route embedding , 1999, ISPD '99.