Effective and Efficient Test Pattern Generation for Small Delay Defect

Testing for small delay defects is critical to guarantee that the manufactured silicon is timing-related defect free and to reduce quality loss associated with delay defects. Commercial solutions available for testing of small delay defects result in very high pattern count and run time. In this paper, we present two effective approaches for generating timing-aware transition fault patterns that target small delay defects. We identify a subset of transition faults that should be targeted by the timing-aware ATPG; while for the rest of the faults, classic non-timing-aware transition fault patterns can be generated. Experimental results for several industrial benchmarks show that the proposed approaches result in up to 75% reduction in test pattern count compared to existing timing-aware ATPG approaches.

[1]  Irith Pomeranz,et al.  On n-detection test sets and variable n-detection test sets for transition faults , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[2]  W. Robert Daasch,et al.  Silicon evaluation of longest path avoidance testing for small delay defects , 2007, 2007 IEEE International Test Conference.

[3]  Mark Mohammad Tehranipoor,et al.  Test-Pattern Grading and Pattern Selection for Small-Delay Defects , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[4]  Rohit Kapur,et al.  Fundamentals of timing information for test: How simple can we get? , 2007, 2007 IEEE International Test Conference.

[5]  Xijiang Lin,et al.  Test Generation for Timing-Critical Transition Faults , 2007, 16th Asian Test Symposium (ATS 2007).

[6]  Haihua Yan,et al.  Evaluating the effectiveness of detecting delay defects in the slack interval: a simulation study , 2004 .

[7]  Mark Mohammad Tehranipoor,et al.  Timing-based delay test for screening small delay defects , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[8]  Toshiyuki Maeda,et al.  Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..

[9]  Richard Putman,et al.  Enhanced timing-based transition delay testing for small delay defects , 2006, 24th IEEE VLSI Test Symposium.

[10]  Chen Wang,et al.  Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects , 2006, 2006 15th Asian Test Symposium.

[11]  Ananta K. Majhi,et al.  On hazard-free patterns for fine-delay fault testing , 2004 .

[12]  Eric Lindbloom,et al.  Transition Fault Simulation , 1987, IEEE Design & Test of Computers.

[13]  Zhongcheng Li,et al.  Reduction of Number of Paths to be Tested in Delay Testing , 2000, J. Electron. Test..

[14]  Edward J. McCluskey,et al.  Delay defect screening using process monitor structures , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[15]  Y. Sato,et al.  Not all Delay Tests Are the Same - SDQL Model Shows True-Time , 2006, 2006 15th Asian Test Symposium.