HS3-DPG: Hierarchical Simulation for 3-D P/G Network

As different tiers are stacked together in 3-D integrated circuits, the power/ground (P/G) network simulation becomes more challenging than that of 2-D cases. In this brief, we propose a hierarchical simulation method suitable for 3-D P/G network (HS3-DPG), which takes advantage of the inherent hierarchical structure of 3-D P/G network. The port equivalent model (PEM) is introduced to mask the details of P/G grid in each tier. Besides, we introduce the locality property to further simplify the simulation. Some 3-D P/G network benchmarks extracted from industrial designs are used to verify the correctness of our method. Experimental results show that, HS3-DPG can achieve considerable speedup, while maintaining high accuracy. Simplified PEMs considering the locality property can save nearly 80% memory allocation compared with the full PEMs when the number of through-silicon-vias between the adjacent tiers becomes quite large.

[1]  Xiaoxia Wu,et al.  Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Yu Wang,et al.  HS3DPG: Hierarchical simulation for 3D P/G network , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  Sung Kyu Lim,et al.  Distributed TSV Topology for 3-D Power-Supply Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Yici Cai,et al.  PowerRush: A linear simulator for power grid , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[5]  Eli Chiprout Fast flip-chip power grid analysis via locality and grid shells , 2004, ICCAD 2004.

[6]  Yu Wang,et al.  NICSLU: An Adaptive Sparse Matrix Solver for Parallel Circuit Simulation , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  William L. Briggs,et al.  A multigrid tutorial, Second Edition , 2000 .

[8]  Ting Yu,et al.  PGT_SOLVER: An efficient solver for power grid transient analysis , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[9]  Rajendran Panda,et al.  Hierarchical analysis of power distribution networks , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Sanjay Pant,et al.  Power Grid Physics and Implications for CAD , 2007, IEEE Design & Test of Computers.

[11]  Jia Wang,et al.  Constraint abstraction for vectorless power grid verification , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[12]  Huazhong Yang,et al.  Simulation and analysis of P/G noise in TSV based 3D MPSoC , 2010, The 2010 International Conference on Green Circuits and Systems.

[13]  A. Sangiovanni-Vincentelli,et al.  A multilevel Newton algorithm with macromodeling and latency for the analysis of large-scale nonlinear circuits in the time domain , 1979 .

[14]  Yuan Xie,et al.  Design space exploration for 3D architectures , 2006, JETC.

[15]  Xiang Hu,et al.  Enabling power distribution network analysis flows for 3D ICs , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[16]  Jae-Seok Yang,et al.  Stress-driven 3D-IC placement with TSV keep-out zone and regularity study , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[17]  Hao Yu,et al.  Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity , 2009, TODE.

[18]  Gang Huang,et al.  Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implication , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

[19]  Yu Wang,et al.  An adaptive LU factorization algorithm for parallel circuit simulation , 2012, 17th Asia and South Pacific Design Automation Conference.

[20]  Zhiyu Zeng,et al.  Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Sani R. Nassif,et al.  Multigrid-like technique for power grid analysis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[22]  Yici Cai,et al.  PowerRush : Efficient transient simulation for power grid analysis , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[23]  Jia Wang,et al.  Parallel forward and back substitution for efficient power grid simulation , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[24]  Giovanni De Micheli,et al.  Voltage propagation method for 3-D power grid analysis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  YANQING CHEN,et al.  Algorithm 8 xx : CHOLMOD , supernodal sparse Cholesky factorization and update / downdate ∗ , 2006 .

[26]  Chun Zhang,et al.  Benchmarking for research in power delivery networks of three-dimensional integrated circuits , 2013, ISPD '13.