Energy-efficient system design for IoT devices

It is projected that, within the coming decade, there will be more than 50 billion smart objects connected to the Internet of Things (IoT). These smart objects, which connect the physical world with the world of computing infrastructure, are expected to pervade all aspects of our daily lives and revolutionize a number of application domains such as healthcare, energy conservation, transportation, etc. In this paper, we present an overview of the challenges involved in designing energy-efficient IoT edge devices and describe recent research that has proposed promising solutions to address these challenges. First, we outline the challenges involved in efficiently supplying power to an IoT device. Next, we discuss the role of emerging memory technologies in making IoT devices energy-efficient. Finally, we discuss the potential impact that approximate computing can have in increasing the energy-efficiency of wearables and other compute-intensive IoT devices.

[1]  Kaushik Roy,et al.  Energy-efficient recognition and mining processor using scalable effort design , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[2]  Di Chen,et al.  A MEMS-based piezoelectric power generator array for vibration energy harvesting , 2008, Microelectron. J..

[3]  B. Allen,et al.  Harvesting energy from ambient radio signals: A load of hot air? , 2012, 2012 Loughborough Antennas & Propagation Conference (LAPC).

[4]  Arnab Raha,et al.  QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers , 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

[5]  Anand Raghunathan,et al.  Best-effort computing: Re-thinking parallel software and hardware , 2010, Design Automation Conference.

[6]  Naoya Onizawa,et al.  A sudden power-outage resilient nonvolatile microprocessor for immediate system recovery , 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15).

[7]  Arnab Raha,et al.  QuickRecall , 2015, ACM J. Emerg. Technol. Comput. Syst..

[8]  Bo Zhao,et al.  A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[9]  Benjamin Ransford Transiently Powered Computers , 2013 .

[10]  Narayanan Vijaykrishnan,et al.  Architecture exploration for ambient energy harvesting nonvolatile processors , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[11]  Huifang Qin,et al.  Deep Sub-Micron SRAM Design for Ultra-Low Leakage Standby Operation by , 2007 .

[12]  Anand Raghunathan,et al.  Quality configurable reduce-and-rank for energy efficient approximate computing , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[13]  Arnab Raha,et al.  Powering the Internet of Things , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[14]  Luis Ceze,et al.  Neural Acceleration for General-Purpose Approximate Programs , 2014, IEEE Micro.

[15]  Alex S. Weddell,et al.  Approaches to Transient Computing for Energy Harvesting Systems: A Quantitative Evaluation , 2015, ENSsys@SenSys.

[16]  Yoshihiro Kawahara,et al.  A battery-less, energy harvesting device for long range scavenging of wireless power from terrestrial TV broadcasts , 2012, 2012 IEEE/MTT-S International Microwave Symposium Digest.

[17]  Puneet Gupta,et al.  Trading Accuracy for Power with an Underdesigned Multiplier Architecture , 2011, 2011 24th Internatioal Conference on VLSI Design.

[18]  Anantha Chandrakasan,et al.  A Battery-Less Thermoelectric Energy Harvesting Interface Circuit With 35 mV Startup Voltage , 2010, IEEE Journal of Solid-State Circuits.

[19]  Manos M. Tentzeris,et al.  Ambient RF Energy-Harvesting Technologies for Self-Sustainable Standalone Wireless Sensor Platforms , 2014, Proceedings of the IEEE.

[20]  Luis Ceze,et al.  Architecture support for disciplined approximate programming , 2012, ASPLOS XVII.

[21]  Lingamneni Avinash,et al.  Energy parsimonious circuit design through probabilistic pruning , 2011, 2011 Design, Automation & Test in Europe.

[22]  Kevin Marquet,et al.  Incremental checkpointing of program state to NVRAM for transiently-powered systems , 2014, 2014 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC).

[23]  Prabal Dutta,et al.  An energy-harvesting sensor architecture and toolkit for building monitoring and event detection , 2014, BuildSys@SenSys.

[24]  Arnab Raha,et al.  Quality-aware data allocation in approximate DRAM* , 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[25]  Sandeep K. Gupta,et al.  A new circuit simplification method for error tolerant applications , 2011, 2011 Design, Automation & Test in Europe.

[26]  Kaushik Roy,et al.  ASLAN: Synthesis of approximate sequential circuits , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[27]  Hugh P. McAdams,et al.  An FRAM-Based Nonvolatile Logic MCU SoC Exhibiting 100% Digital State Retention at ${\rm VDD}=$ 0 V Achieving Zero Leakage With ${<}$ 400-ns Wakeup Time for ULP Applications , 2014, IEEE Journal of Solid-State Circuits.

[28]  Nikil D. Dutt,et al.  Exploiting Partially-Forgetful Memories for Approximate Computing , 2015, IEEE Embedded Systems Letters.

[29]  Jacob Nelson,et al.  Approximate storage in solid-state memories , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[30]  Arnab Raha,et al.  Hypnos: An ultra-low power sleep mode with SRAM data retention for embedded microcontrollers! , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[31]  Kaushik Roy,et al.  Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[32]  Brandon Lucia,et al.  A simpler, safer programming and execution model for intermittent systems , 2015, PLDI.

[33]  Luca Benini,et al.  Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting Systems , 2015, IEEE Embedded Systems Letters.

[34]  Hamid Jabbar,et al.  RF energy harvesting system and circuits for charging of mobile devices , 2010, IEEE Transactions on Consumer Electronics.

[35]  Gil Zussman,et al.  Movers and Shakers: Kinetic Energy Harvesting for the Internet of Things , 2013, IEEE Journal on Selected Areas in Communications.

[36]  Arnab Raha,et al.  Input-Based Dynamic Reconfiguration of Approximate Arithmetic Units for Video Encoding , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[37]  Vijay Raghunathan,et al.  When they are not listening: Harvesting power from idle sensors in embedded systems , 2014, International Green Computing Conference.

[38]  Aiguo Patrick Hu,et al.  Indoor WiFi energy harvester with multiple antenna for low-power wireless applications , 2014, 2014 IEEE 23rd International Symposium on Industrial Electronics (ISIE).

[39]  Kaushik Roy,et al.  IMPACT: IMPrecise adders for low-power approximate computing , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[40]  Kaushik Roy,et al.  Quality programmable vector processors for approximate computing , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[41]  R Camplani,et al.  A Robust, Adaptive, Solar-Powered WSN Framework for Aquatic Environmental Monitoring , 2011, IEEE Sensors Journal.

[42]  G. Edward Suh,et al.  A non-volatile microcontroller with integrated floating-gate transistors , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems and Networks Workshops (DSN-W).

[43]  Tetsuo Endoh,et al.  10.5 A 90nm 20MHz fully nonvolatile microcontroller for standby-power-critical applications , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[44]  Kevin Fu,et al.  Mementos: system support for long-running computation on RFID-scale devices , 2011, ASPLOS XVI.

[45]  Henry Hoffmann,et al.  Managing performance vs. accuracy trade-offs with loop perforation , 2011, ESEC/FSE '11.

[46]  Arnab Raha,et al.  Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in IoT Edge Devices , 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

[47]  Song Liu,et al.  Flikker: saving DRAM refresh-power through critical data partitioning , 2011, ASPLOS XVI.

[48]  Andrew B. Kahng,et al.  Accuracy-configurable adder for approximate arithmetic designs , 2012, DAC Design Automation Conference 2012.

[49]  Hiroshi Nakamura,et al.  Normally-off computing project: Challenges and opportunities , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[50]  Marcus Herzog,et al.  An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications , 2011, 2011 IEEE International Solid-State Circuits Conference.

[51]  Rong Luo,et al.  Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[52]  Kaushik Roy,et al.  SALSA: Systematic logic synthesis of approximate circuits , 2012, DAC Design Automation Conference 2012.