3.2Gbps channel-adaptive configurable MIMO detector for multi-mode wireless communication

A configurable, channel-adaptive K-best MIMO detector for multi-mode wireless communications that adapts computation to varying channel conditions to achieve high energy-efficiency is presented. An 8-stage configurable MIMO detector supporting up to a 4×4 MIMO array and BPSK to 16-QAM modulation schemes has been implemented and simulated in 0.80V, 22nm Tri-gate CMOS process. Dynamic clock gating and power gating enable on-the-fly configuration and adaptive tuning of search radius K to channel response which results in 10% to 51% energy-efficiency improvement over non-adaptive K-best MIMO detectors. During unfavorable channel conditions, the MIMO detector satisfies target BER by setting K=5. For favorable channel conditions, K is reduced to 1, where 22nm circuit simulations show 68% energy reduction. At 1.0GHz target frequency, the total power consumption is 15mW (K=1) to 35mW (K=5), resulting in energy-efficiency of 14.2pJ/bit (K=1) to 44.7pJ/bit (K=5) and 3.2Gbps throughput.

[1]  Alexander Vardy,et al.  Closest point search in lattices , 2002, IEEE Trans. Inf. Theory.

[2]  Zhan Guo,et al.  Algorithm and implementation of the K-best sphere decoding for MIMO detection , 2006, IEEE Journal on Selected Areas in Communications.

[3]  Gerhard Fettweis,et al.  A 335Mb/s 3.9mm2 65nm CMOS flexible MIMO detection-decoding engine achieving 4G wireless data rates , 2012, 2012 IEEE International Solid-State Circuits Conference.

[4]  Dejan Markovic,et al.  A Flexible DSP Architecture for MIMO Sphere Decoding , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[5]  D. Perels,et al.  ASIC implementation of a MIMO-OFDM transceiver for 192 Mbps WLANs , 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..

[6]  Behrouz Farhang-Boroujeny,et al.  Implementation of a Markov Chain Monte Carlo Based Multiuser/MIMO Detector , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[7]  Helmut Bölcskei,et al.  Soft-output sphere decoding: algorithms and VLSI implementation , 2008, IEEE Journal on Selected Areas in Communications.

[8]  Pei-Yun Tsai,et al.  Baseband Receiver Design for Wireless MIMO-OFDM Communications: Chiueh/Baseband Receiver Design for Wireless MIMO-OFDM Communications , 2012 .

[9]  Claus-Peter Schnorr,et al.  Lattice Basis Reduction: Improved Practical Algorithms and Solving Subset Sum Problems , 1991, FCT.

[10]  G. Curello,et al.  A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications , 2012, 2012 International Electron Devices Meeting.

[11]  A. Burg,et al.  VLSI implementation of MIMO detection using the sphere decoding algorithm , 2005, IEEE Journal of Solid-State Circuits.

[12]  Dejan Markovic,et al.  A 5.8mW 3GPP-LTE compliant 8×8 MIMO sphere decoder chip with soft-outputs , 2010, 2010 Symposium on VLSI Circuits.

[13]  Mojtaba Mahdavi,et al.  Novel MIMO Detection Algorithm for High-Order Constellations in the Complex Domain , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.