A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC Converter

This paper presents a 16-core voltage-stacked system with adaptive frequency clocking (AFClk) and a fully integrated voltage regulator that demonstrates efficient on-chip power delivery for multicore systems. Voltage stacking alleviates power delivery inefficiencies due to off-chip parasitics but adds complexity to combat internal voltage noise. To address the corresponding issue of internal voltage noise, the system utilizes an AFClk scheme with an efficient switched-capacitor dc–dc converter to mitigate noise on the stack layers and to improve system performance and efficiency. Experimental results demonstrate robust voltage noise mitigation as well as the potential of voltage stacking as a highly efficient power delivery scheme. This paper also illustrates that augmenting the hardware techniques with intelligent workload allocation that exploits the inherent properties of voltage stacking can preemptively reduce the interlayer activity mismatch and improve system efficiency.

[1]  Elad Alon,et al.  A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28nm FDSOI , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[2]  Gu-Yeon Wei,et al.  A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[3]  John Keane,et al.  A multi-story power delivery technique for 3D integrated circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[4]  Gu-Yeon Wei,et al.  A fully digital, energy-efficient, adaptive power-supply regulator , 1999 .

[5]  Gu-Yeon Wei,et al.  Supply-noise resilient adaptive clocking for battery-powered aerial microrobotic System-on-Chip in 40nm CMOS , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[6]  C. Schaef,et al.  A multi-level ladder converter supporting vertically-stacked digital voltage domains , 2013, 2013 Twenty-Eighth Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

[7]  Gu-Yeon Wei,et al.  Evaluation of voltage stacking for near-threshold multicore computing , 2012, ISLPED '12.

[8]  S. Rajapandian,et al.  High-voltage power delivery through charge recycling , 2006, IEEE Journal of Solid-State Circuits.

[9]  Kevin Skadron,et al.  Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[10]  Keith A. Bowman,et al.  A 22nm dynamically adaptive clock distribution for voltage droop tolerance , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[11]  Gu-Yeon Wei,et al.  A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS , 2012, IEEE Journal of Solid-State Circuits.

[12]  Elad Alon,et al.  Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters , 2011, IEEE Journal of Solid-State Circuits.

[13]  Gu-Yeon Wei,et al.  A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications , 2016, IEEE Journal of Solid-State Circuits.

[14]  Jose Renau,et al.  Managing Mismatches in Voltage Stacking with CoreUnfolding , 2016, ACM Trans. Archit. Code Optim..

[15]  Jaydeep P. Kulkarni,et al.  5.7 A graphics execution core in 22nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[16]  Bishop Brock,et al.  Active Guardband Management in Power7+ to Save Energy and Maintain Reliability , 2013, IEEE Micro.

[17]  Kevin Skadron,et al.  A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-IC , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  R. Dennard,et al.  A fully-integrated switched-capacitor 2∶1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2 , 2010, 2010 Symposium on VLSI Circuits.

[19]  Philip T. Krein,et al.  Differential Power Processing for DC Systems , 2013, IEEE Transactions on Power Electronics.

[20]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[21]  Samuel Naffziger,et al.  5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[22]  Gu-Yeon Wei,et al.  A fully integrated battery-connected switched-capacitor 4:1 voltage regulator with 70% peak efficiency using bottom-plate charge recycling , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[23]  Gu-Yeon Wei,et al.  MachSuite: Benchmarks for accelerator design and customized architectures , 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

[24]  R. Pilawa-Podgurski,et al.  Re-thinking data center power delivery: Regulating series-connected voltage domains in software , 2013, 2013 IEEE Power and Energy Conference at Illinois (PECI).

[25]  Johann W. Kolar,et al.  4.7 A sub-ns response on-chip switched-capacitor DC-DC voltage regulator delivering 3.7W/mm2 at 90% efficiency using deep-trench capacitors in 32nm SOI CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[26]  Yoshihiro Hayashi,et al.  A 27% Active-Power-Reduced 40-nm CMOS Multimedia SoC With Adaptive Voltage Scaling Using Distributed Universal Delay Lines , 2012, IEEE Journal of Solid-State Circuits.