A novel buffer circuit for energy efficient signaling in dual-VDD systems
暂无分享,去创建一个
[1] Kiyoo Itoh,et al. Sub-1-V swing internal bus architecture for future low-power ULSIs , 1993 .
[2] Mitsuru Hiraki,et al. Data-Dependent Logic Swing Internal Bus Architecture for Ultra-Low-Power Lsis , 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.
[3] Baher Haroun,et al. A novel reduced swing CMOS bus interface circuit for high speed low power VLSI systems , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
[4] Hiroyuki Yamauchi,et al. An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI's(Special Issue on the 1994 VLSI Circuits Symposium) , 1995 .
[5] Mark Horowitz,et al. Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.
[6] J.C. Chen,et al. An on-chip, attofarad interconnect charge-based capacitance measurement (CBCM) technique , 1996, International Electron Devices Meeting. Technical Digest.
[7] J.C. Chen,et al. An on-chip, interconnect capacitance characterization method with sub-femto-farad resolution , 1997, 1997 IEEE International Conference on Microelectronic Test Structures Proceedings.
[8] Takashi Ishikawa,et al. Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[9] J.C. Chen,et al. A simple method for on-chip, sub-femto Farad interconnect capacitance measurement , 1997, IEEE Electron Device Letters.
[10] C. Hu,et al. Measurement-Based Interconnect Capacitance Characterization for Circuit Simulations , 1998 .
[11] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[12] Dennis Sylvester,et al. Interconnect scaling: signal integrity and performance in future high-speed CMOS designs , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).
[13] H. Momose,et al. A 60 mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).
[14] Dennis Sylvester,et al. An Analytical Crosstalk Model with Application to ULSI Interconnect Scaling , 1998 .
[15] Kurt Keutzer,et al. Getting to the bottom of deep submicron II: a global wiring paradigm , 1999, ISPD '99.
[16] Kurt Keutzer,et al. System-Level Performance Modeling with BACPAC - Berkeley Advanced Chip Performance Calculator , 1999 .
[17] O. S. Nakagawa,et al. Circuit impact and skew-corner analysis of stochastic process variation in global interconnect , 1999, Proceedings of the IEEE 1999 International Interconnect Technology Conference (Cat. No.99EX247).
[18] Kurt Keutzer,et al. Rethinking Deep-Submicron Circuit Design , 1999, Computer.
[19] Andrew B. Kahng,et al. Interconnect optimization strategies for high-performance VLSI designs , 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).
[20] Dennis Sylvester,et al. Modeling the impact of back-end process variation on circuit performance , 1999, 1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).
[21] M. Hamada,et al. Low-power CMOS digital design with dual embedded adaptive power supplies , 2000, IEEE Journal of Solid-State Circuits.
[22] Dennis Sylvester. Measurement techniques and interconnect estimation , 2000, SLIP '00.
[23] Yu Cao,et al. A new analytical delay and noise model for on-chip RLC interconnect , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[24] Yu Cao,et al. RLC signal integrity analysis of high-speed global interconnects [CMOS] , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[25] Yu Cao,et al. GTX: the MARCO GSRC technology extrapolation system , 2000, Proceedings 37th Design Automation Conference.
[26] Yu Cao,et al. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[27] Yu Cao,et al. Characterization of interconnect coupling noise using in-situ delay-change curve measurements , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).
[28] Kurt Keutzer,et al. A global wiring paradigm for deep submicron design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[29] Yu Cao,et al. Effects of global interconnect optimizations on performance estimation of deep submicron design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[30] M. Hussein,et al. A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[31] George Varghese,et al. Low-swing on-chip signaling techniques: effectiveness and robustness , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[32] Chenming Hu,et al. Accurate in-situ measurement of peak noise and signal delay induced by interconnect coupling , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[33] Yu Cao,et al. Accurate in situ measurement of peak noise and delay change induced by interconnect coupling , 2001 .
[34] Jamil Kawa,et al. Modeling and analysis of differential signaling for minimizing inductive cross-talk , 2001, DAC '01.
[35] Himanshu Kaul,et al. Future performance challenges in nanometer design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[36] Himanshu Kaul,et al. Power-Driven Challenges in Nanometer Design , 2001, IEEE Des. Test Comput..
[37] Ankur Srivastava,et al. On gate level power optimization using dual-supply voltages , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[38] Tadahiro Kuroda,et al. Utilizing surplus timing for power reduction , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[39] Y. Massoud,et al. Modeling and analysis of differential signaling for minimizing inductive crosstalk , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[40] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[41] Puneet Gupta,et al. Design sensitivities to variability: extrapolations and assessments in nanometer VLSI , 2002, 15th Annual IEEE International ASIC/SOC Conference.
[42] Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[43] David Blaauw,et al. Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.
[44] Dennis Sylvester,et al. Transition aware global signaling (TAGS) , 2002, Proceedings International Symposium on Quality Electronic Design.
[45] Yu Cao,et al. Analytical performance models for RLC interconnects and application to clock optimization , 2002, 15th Annual IEEE International ASIC/SOC Conference.
[46] David Blaauw,et al. A library compatible driving point model for on-chip RLC interconnects , 2002, TAU '02.
[47] David Blaauw,et al. Active shielding of RLC global interconnects , 2002, TAU '02.
[48] David Blaauw,et al. Active shields: a new approach to shielding global wires , 2002, GLSVLSI '02.
[49] David Blaauw,et al. An implementation of a 32-bit ARM processor using dual power supplies and dual threshold voltages , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[50] Dennis Sylvester,et al. Pushing ASIC performance in a power envelope , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[51] Puneet Gupta,et al. A cost-driven lithographic correction methodology based on off-the-shelf sizing tools , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[52] David Blaauw,et al. Statistical estimation of leakage current considering inter- and intra-die process variation , 2003, ISLPED '03.
[53] D. Sylvester,et al. Minimizing total power by simultaneous Vdd/Vth assignment , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..
[54] Puneet Gupta,et al. Toward performance-driven reduction of the cost of RET-based lithography control , 2003, SPIE Advanced Lithography.
[55] David Blaauw,et al. Optimal inductance for on-chip RLC interconnections , 2003, Proceedings 21st International Conference on Computer Design.
[56] Yu Cao,et al. Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[57] David Blaauw,et al. An effective capacitance based driver output model for on-chip RLC interconnects , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[58] D. Sylvester,et al. Simple metrics for slew rate of RC circuits based on two circuit moments , 2004, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[59] David Blaauw,et al. Simultaneous subthreshold and gate-oxide tunneling leakage current analysis in nanometer CMOS design , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[60] Yu Cao,et al. Improved a priori interconnect predictions and technology extrapolation in the GTX system , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[61] David Blaauw,et al. Dynamic clamping: on-chip dynamic shielding and termination for high-speed RLC buses , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).
[62] David Blaauw,et al. Analysis and minimization techniques for total leakage considering gate oxide leakage , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[63] E. Chan,et al. Bae Systems Mission Specific Processor Technology , 2003 .
[64] Puneet Gupta,et al. Selective gate-length biasing for cost-effective runtime leakage control , 2004, Proceedings. 41st Design Automation Conference, 2004..
[65] Dennis Sylvester,et al. Transistor and pin reordering for gate oxide leakage reduction in dual T/sub ox/ circuits , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[66] David Blaauw,et al. Static Leakage Reduction through Simulteneous VTT/TOX and State Assignment , 2004, Ultra Low-Power Electronics and Design.
[67] David Blaauw,et al. Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[68] David Blaauw,et al. Performance optimization of critical nets through active shielding , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.
[69] Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..
[70] David Blaauw,et al. Simultaneous state, Vt and Tox assignment for total standby power minimization , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[71] Dennis Sylvester,et al. A general framework for probabilistic low-power design space exploration considering process variation , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[72] David Blaauw,et al. Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..
[73] Puneet Gupta,et al. Investigation of performance metrics for interconnect stack architectures , 2004, SLIP '04.
[74] Kevin J. Nowka,et al. Approaches to run-time and standby mode leakage reduction in global buses , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[75] Dennis Sylvester,et al. A new algorithm for improved VDD assignment in low power dual VDD systems , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[76] David Blaauw,et al. Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..
[77] David Blaauw,et al. A simplified transmission-line based crosstalk noise model for on-chip RLC wiring , 2004 .
[78] Dennis Sylvester,et al. Tradeoffs between gate oxide leakage and delay for dual T/sub ox/ circuits , 2004, Proceedings. 41st Design Automation Conference, 2004..
[79] David Blaauw,et al. Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..
[80] David Blaauw,et al. Extended dynamic voltage scaling for low power design , 2004, IEEE International SOC Conference, 2004. Proceedings..
[81] Puneet Gupta,et al. Toward a methodology for manufacturability-driven design rule exploration , 2004, Proceedings. 41st Design Automation Conference, 2004..
[82] David Blaauw,et al. Variational delay metrics for interconnect timing analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..
[83] Mark Anders,et al. Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[84] David Blaauw,et al. Vlsi Design Curriculum , 2004 .
[85] David Blaauw,et al. A simple metric for slew rate of RC circuits based on two circuit moments , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[86] Dennis Sylvester,et al. A new threshold voltage assignment scheme for runtime leakage reduction in on-chip repeaters , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[87] Puneet Gupta,et al. Joining the design and mask flows for better and cheaper masks , 2004, SPIE Photomask Technology.
[88] David Blaauw,et al. Gate oxide leakage current analysis and reduction for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[89] Himanshu Kaul,et al. Power-aware global signaling strategies , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[90] David Blaauw,et al. Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[91] Yu Cao,et al. Switch-factor based loop RLC modeling for efficient timing analysis , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[92] David Blaauw,et al. Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment , 2005, ASP-DAC '05.
[93] Kevin J. Nowka,et al. Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength , 2005, Proceedings 2005 IEEE International SOC Conference.
[94] Mark Anders,et al. Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[95] Puneet Gupta,et al. Performance-driven OPC for mask cost reduction , 2005, Sixth international symposium on quality electronic design (isqed'05).
[96] David Blaauw,et al. Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[97] David Blaauw,et al. Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.
[98] Dennis Sylvester,et al. A new asymmetric skewed buffer design for runtime leakage power reduction , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[99] Dennis Sylvester,et al. Advanced timing analysis based on post-OPC extraction of critical dimensions , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[100] David Blaauw,et al. Timing error correction techniques for voltage-scalable on-chip memories , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[101] S. Sapatnekar,et al. Gate Oxide Leakage and Delay Tradeoffs for Dual Tox Circuits , 2005 .
[102] Dennis Sylvester,et al. Optimization objectives and models of variation for statistical gate sizing , 2005, ACM Great Lakes Symposium on VLSI.
[103] David Blaauw,et al. Discrete Vt assignment and gate sizing using a self-snapping continuous formulation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[104] David Blaauw,et al. Gate-level mitigation techniques for neutron-induced soft error rate , 2005, Sixth international symposium on quality electronic design (isqed'05).
[105] Trevor N. Mudge,et al. Power-performance trade-offs in nanometer-scale multi-level caches considering total leakage , 2005, Design, Automation and Test in Europe.
[106] Kevin J. Nowka,et al. Parametric yield analysis and constrained-based supply voltage optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[107] Trevor N. Mudge,et al. Total leakage optimization strategies for multi-level caches , 2005, ACM Great Lakes Symposium on VLSI.
[108] David Blaauw,et al. An efficient surface-based low-power buffer insertion algorithm , 2005, ISPD '05.
[109] David Blaauw,et al. Bus encoding for total power reduction using a leakage-aware buffer configuration , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[110] Dennis Sylvester,et al. Advanced timing analysis based on post-OPC patterning process simulations , 2005, SPIE Advanced Lithography.
[111] David Blaauw,et al. DVS for on-chip bus designs based on timing error correction , 2005, Design, Automation and Test in Europe.
[112] David Blaauw,et al. Modeling and analysis of parametric yield under power and performance constraints , 2005, IEEE Design & Test of Computers.
[113] Puneet Gupta,et al. Self-compensating design for focus variation , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[114] David Blaauw,et al. Statistical modeling of cross-coupling effects in VLSI interconnects , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[115] Kevin J. Nowka,et al. Dynamically pulsed MTCMOS with bus encoding for total power and crosstalk minimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[116] D. Sylvester. Design for manufacturability: challenges and opportunities , 2005, 2005 6th International Conference on ASIC.
[117] Kevin J. Nowka,et al. Power gating with multiple sleep modes , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[118] Kevin J. Nowka,et al. A Dual-VDD Boosted Pulsed Bus Technique for Low Power and Low Leakage Operation , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[119] David Blaauw,et al. A New Technique for Jointly Optimizing Gate Sizing and Supply Voltage in Ultra-Low Energy Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[120] David Blaauw,et al. Energy Optimality and Variability in Subthreshold Design , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[121] Dennis Sylvester,et al. Modeling of non-uniform device geometries for post-lithography circuit analysis , 2006, SPIE Advanced Lithography.
[122] David Blaauw,et al. ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon , 2006, IEEE Design & Test of Computers.
[123] D. Sylvester,et al. A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[124] D. Blaauw,et al. Design and Optimization of Hybrid Power Systems for Fully Implantable Medical Devices , 2006 .
[125] Kevin J. Nowka,et al. Fine grained multi-threshold CMOS for enhanced leakage reduction , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[126] David Blaauw,et al. Logic SER reduction through flip flop redesign , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[127] David Blaauw,et al. Runtime Leakage Minimization Through Probability-Aware Optimization , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[128] D. Blaauw,et al. Design Strategies for Ultra-Low Voltage Circuits , 2006 .
[129] David Blaauw,et al. Modeling and analysis of crosstalk noise in coupled RLC interconnects , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[130] Dennis Sylvester,et al. Clock buffer and wire sizing using sequential programming , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[131] David Blaauw,et al. Reliability modeling and management in dynamic microprocessor-based systems , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[132] Dennis Sylvester,et al. Process-induced skew reduction in nominal zero-skew clock trees , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[133] Dennis Sylvester,et al. Power distribution techniques for dual VDD circuits , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[134] Dennis Sylvester,et al. Layout verification and optimization based on flexible design rules , 2006, SPIE Advanced Lithography.
[135] Bo Zhai,et al. Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor , 2007, 2007 IEEE Symposium on VLSI Circuits.
[136] Dennis Sylvester,et al. Design of Hybrid Implantable Power Systems (HIPS): Optimization Based on Fundamentals of Materials and Energetics , 2007 .
[137] D. Blaauw,et al. Criticality Aware Latin Hypercube Sampling for Efficient Statistical Timing Analysis , 2007 .
[138] Kevin J. Nowka,et al. Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[139] Dennis Sylvester,et al. Parametric Yield Analysis and Optimization in Leakage Dominated Technologies , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[140] David Blaauw,et al. An Energy Efficient Parallel Architecture Using Near Threshold Operation , 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).
[141] Bo Zhai,et al. Energy-Optimal Circuit Design , 2007, 2007 International Symposium on System-on-Chip.
[142] G. Lo,et al. A complementary-I-MOS technology featuring SiGe channel and i-region for enhancement of impact-ionization, breakdown voltage, and performance , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.
[143] Y. Yeo,et al. A Double-Gate Tunneling Field-Effect Transistor with Silicon-Germanium Source for High-Performance, Low Standby Power, and Low Power Technology Applications , 2007 .
[144] Dennis Sylvester,et al. Computer-Aided Design for Low-Power Robust Computing in Nanoscale CMOS , 2007, Proceedings of the IEEE.
[145] Puneet Gupta,et al. Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[146] G. Lo,et al. Impact Ionization Nanowire Transistor with Multiple-Gates, Silicon-Germanium Impact Ionization Region, and Sub-5 mV/decade Subtheshold Swing , 2007, 2007 IEEE International Electron Devices Meeting.
[147] Dennis Sylvester,et al. Low-Power-Design Space Exploration Considering Process Variation Using Robust Optimization , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[148] Dennis Sylvester,et al. Runtime leakage power estimation technique for combinational circuits , 2007, 2007 Asia and South Pacific Design Automation Conference.
[149] David Blaauw,et al. A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[150] Dennis Sylvester,et al. Performance-driven optical proximity correction for mask cost reduction , 2007 .
[151] Puneet Gupta,et al. Line-End Shortening is Not Always a Failure , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[152] David Blaauw,et al. Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[153] Soft-edge flip-flops for improved timing yield: design and optimization , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[154] David Blaauw,et al. Self-Timed Regenerators for High-Speed and Low-Power Interconnect , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[155] David Blaauw,et al. Nanometer Device Scaling in Subthreshold Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[156] David Blaauw,et al. A robust edge encoding technique for energy-efficient multi-cycle interconnect , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[157] Y. Yeo,et al. A Physics-based Compact Model for I-MOS Transistors , 2007 .
[158] Dennis Sylvester,et al. Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion , 2007, 2007 Asia and South Pacific Design Automation Conference.
[159] David Blaauw,et al. Energy efficient near-threshold chip multi-processing , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).