Plasma etching: Yesterday, today, and tomorrow

The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current s...

[1]  S. Samukawa,et al.  Time‐modulated electron cyclotron resonance plasma discharge for controlling generation of reactive species , 1993 .

[2]  H. F. Winters,et al.  Surface Science Aspects of Etching Reactions , 1992 .

[3]  Burak Ozpineci,et al.  Smaller, faster, tougher , 2011, IEEE Spectrum.

[4]  D. J. Economou Fundamentals and applications of ion–ion plasmas , 2007 .

[5]  M. Balooch,et al.  Low‐energy Ar ion‐induced and chlorine ion etching of silicon , 1996 .

[6]  V. M. Donnelly,et al.  In-Situ Surface Recombination Measurements of Oxygen Atoms on Anodized Aluminum in an Oxygen Plasma , 2008 .

[7]  A. Lichtenberg,et al.  Secondary electrons in rf and dc/rf capacitive discharges , 2008 .

[8]  C. Hu,et al.  Sub-50 nm P-channel FinFET , 2001 .

[9]  An optically driven quantum dot quantum computer , 1999, 1999 57th Annual Device Research Conference Digest (Cat. No.99TH8393).

[10]  C. Mack Field Guide to Optical Lithography , 2006 .

[11]  M. Pottenger,et al.  MEMS : The maturing of a new technology , 1997 .

[12]  Chung-Kyu Yeon,et al.  Deep-submicron trench profile control using a magnetron enhanced reactive ion etching system for shallow trench isolation , 1998 .

[13]  Koichi Hashimoto,et al.  Charge Damage Caused by Electron Shading Effect , 1994 .

[14]  W. Shen,et al.  Effect of film roughness in MgO-based magnetic tunnel junctions , 2006 .

[15]  Tae-Woo Kim,et al.  Atomic layer etching of InP using a low angle forward reflected Ne neutral beam , 2006 .

[16]  Kevin K. H. Chan,et al.  Surface analysis of realistic semiconductor microstructures , 1989 .

[17]  S. McNevin Radio frequency plasma etching of Si/SiO2 by Cl2/O2 : Improvements resulting from the time modulation of the processing gases , 1990 .

[18]  Mark E. McNie,et al.  Performance enhancement and evaluation of deep dry etching on a production cluster platform , 2003, SPIE MOEMS-MEMS.

[19]  J. Coburn,et al.  Optical emission spectroscopy of reactive plasmas: A method for correlating emission intensities to reactive particle density , 1980 .

[20]  Ronald L. Allen,et al.  Spectral ellipsometry on patterned wafers , 1995, Advanced Lithography.

[21]  F. Celii,et al.  The Profile and Device Characterization of High Wafer Temperature Etched Ir/PZT/Ir Stacks , 2003 .

[22]  J. Pelletier,et al.  Oxygen atom actinometry reinvestigated: Comparison with absolute measurements by resonance absorption at 130 nm , 1991 .

[23]  D. Cheung,et al.  Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride , 2013 .

[24]  J. Michelon,et al.  Advanced Cu interconnects using air gaps , 2005 .

[25]  H. F. Winters,et al.  Surface processes in plasma-assisted etching environments , 1983 .

[26]  David J. Wineland,et al.  Quantum information processing and metrology with trapped ions , 2011 .

[27]  H. Cui,et al.  Process integration compatibility of low-k and ultra-low-k dielectrics , 2005 .

[28]  R. W. Boswell,et al.  Multipole confined diffusion plasma produced by 13.56 MHz electrodeless source , 1989 .

[29]  A. Thamm,et al.  Overview of dual damascene integration schemes in Cu BEOL integration , 2008 .

[30]  V. M. Donnelly,et al.  Auger electron spectroscopy study of reactor walls in transition from an O2 to a Cl2 plasma , 2009 .

[31]  Konstantinos P. Giapis,et al.  Hyperthermal neutral beam etching , 1995 .

[32]  K. Saraswat,et al.  Air-gap formation during IMD deposition to lower interconnect capacitance , 1998, IEEE Electron Device Letters.

[33]  S. Samukawa Ultimate Top-down Etching Processes for Future Nanoscale Devices: Advanced Neutral-Beam Etching , 2006, 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings.

[34]  D. Graves,et al.  Molecular dynamics simulations of direct reactive ion etching: surface roughening of silicon by chlorine , 1996 .

[35]  D. C. Gray,et al.  Phenomenological modeling of ion‐enhanced surface kinetics in fluorine‐based plasma etching , 1993 .

[36]  Curtis Volin,et al.  Controlling trapping potentials and stray electric fields in a microfabricated ion trap through design and compensation , 2012, 1204.4147.

[37]  J. Coburn In situ Auger electron spectroscopy of Si and SiO2 surfaces plasma etched in CF4‐H2 glow discharges , 1979 .

[38]  Jon M. Slaughter,et al.  The science and technology of magnetoresistive tunneling memory , 2002 .

[39]  I. Herman,et al.  Optical diagnostics for thin film processing. , 1995, Annual review of physical chemistry.

[40]  S. Samukawa,et al.  On-Wafer Monitoring of Vacuum-Ultraviolet Radiation Damage in High-Density Plasma Processes , 2001 .

[41]  Avi Y. Feldblum,et al.  Performance and measurements of refractive microlens arrays , 1991, Optics & Photonics.

[42]  S. Mader,et al.  Silicon Damage Caused by Hydrogen Containing Plasmas , 1983 .

[43]  Vincent M. Donnelly,et al.  Diagnostics of ballistic electrons in a dc/rf hybrid capacitively coupled discharge , 2008 .

[44]  S. Samukawa,et al.  Effects of electron temperature in high‐density Cl2 plasma for precise etching processes , 1996 .

[45]  Medicina Nei Secoli La Redazione No Abstract Available , 2005 .

[46]  N. Possémé,et al.  Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas , 2003 .

[47]  F. Aldridge High Speed Anisotropic Reactive Ion Etching of Gold Films , 1995 .

[48]  Naokichi Hosokawa,et al.  RF Sputter-Etching by Fluoro-Chloro-Hydrocarbon Gases , 1974 .

[49]  Mark J. Kushner,et al.  Etching of porous and solid SiO2 in Ar∕c-C4F8, O2∕c-C4F8 and Ar∕O2∕c-C4F8 plasmas , 2005 .

[50]  V. M. Donnelly,et al.  Surprising importance of photo-assisted etching of silicon in chlorine-containing plasmas , 2012 .

[51]  Curtis Volin,et al.  Reliable transport through a microfabricated X-junction surface-electrode ion trap , 2012, 1210.3655.

[52]  J. Stowers,et al.  High resolution, high sensitivity inorganic resists , 2009 .

[53]  G. Oehrlein Rutherford backscattering studies of plasma‐etched silicon , 1986 .

[54]  J. Thomson LIV.On the discharge of electricity through exhausted tubes without electrodes , 1891 .

[55]  D. Flamm,et al.  1 – Plasma Etching Technology—An Overview , 1989 .

[56]  Vincent M. Donnelly,et al.  The reaction of fluorine atoms with silicon , 1981 .

[57]  V. M. Donnelly,et al.  Quantitative chemical topography of polycrystalline Si anisotropically etched in Cl2/O2 high density plasmas , 1995 .

[58]  J. Marks,et al.  Selective dry etching in a high density plasma for 0.5 μm complementary metal–oxide–semiconductor technology , 1994 .

[59]  D. J. Economou,et al.  Realization of atomic layer etching of silicon , 1996 .

[60]  Sumio Ashida,et al.  Global models of pulse-power-modulated high-density, low-pressure discharges , 1996 .

[61]  E. Yablonovitch,et al.  Fabrication and characterization of electrostatic Si∕SiGe quantum dots with an integrated read-out channel , 2005, cond-mat/0504046.

[62]  E. R. Fisher,et al.  A Review of Plasma-Surface Interactions During Processing of Polymeric Materials Measured Using the IRIS Technique , 2004 .

[63]  Interferometry for end point prediction during plasma etching of various structures in complementary metal–oxide–semiconductor device fabrication , 1999 .

[64]  A. Wendt,et al.  Control of ion energy distribution at substrates during plasma processing , 2000 .

[65]  A. Lichtenberg,et al.  Principles of Plasma Discharges and Materials Processing , 1994 .

[66]  H. F. Winters,et al.  Plasma etching—A discussion of mechanisms , 1979 .

[67]  R. Gutmann,et al.  Air-gaps in 0.3 μm electrical interconnections , 2000, IEEE Electron Device Letters.

[68]  I. Nakatani Ultramicro fabrications on Fe-Ni alloys using electron-beam writing and reactive-ion etching , 1996 .

[69]  H. Casey,et al.  Dry processing of high resolution and high aspect ratio structures in GaAs-Al(x)Ga(1-x) As for integrated optics. , 1977, Applied optics.

[70]  C. Hu,et al.  FinFET-a self-aligned double-gate MOSFET scalable to 20 nm , 2000 .

[71]  Rudolf A.H. Heinecke,et al.  Control of relative etch rates of SiO2 and Si in plasma etching , 1975 .

[72]  R. Boswell,et al.  Some features of RF excited fully ionized low pressure argon plasma , 1982 .

[73]  C. W. Jurgensen,et al.  Microscopic uniformity in plasma etching , 1992 .

[74]  Radical-surface interactions during film deposition: A sticky situation? , 2006 .

[75]  V. M. Donnelly,et al.  Transient plasma-induced emission analysis of laser-desorbed species during Cl2 plasma etching of Si , 2000 .

[76]  D. J. Economou,et al.  Effect of Potential Field on Ion Deflection and Shape Evolution of Trenches during Plasma‐Assisted Etching , 1988 .

[77]  M. B. Anand,et al.  Use of gas as low-k interlayer dielectric in LSI's: Demonstration of feasibility , 1997 .

[78]  V. M. Donnelly,et al.  Extraction of a nearly monoenergetic ion beam using a pulsed plasma , 2005 .

[79]  I Langmuir,et al.  Oscillations in Ionized Gases. , 1928, Proceedings of the National Academy of Sciences of the United States of America.

[80]  T. Standaert,et al.  Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2 , 1999 .

[81]  S. Solomon The Physical Science Basis : Contribution of Working Group I to the Fourth Assessment Report of the Intergovernmental Panel on Climate Change , 2007 .

[82]  L. Tonks The Birth of ``Plasma'' , 1967 .

[83]  William Robert Grove,et al.  VII. On the electro-chemical polarity of gases , 1852, Philosophical Transactions of the Royal Society of London.

[84]  H. Sawin,et al.  Plasma–surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon , 2002 .

[85]  Woo-Sung Han,et al.  Exposed area ratio dependent etching in a submicron self-aligned contact etching , 2002 .

[86]  Timothy J. Dalton,et al.  Interferometric Real‐Time Measurement of Uniformity for Plasma Etching , 1994 .

[87]  J. Coburn,et al.  Mass spectrometric detection of reactive neutral species: Beam-to-background ratio , 1999 .

[88]  J. Coburn,et al.  Appearance potential mass spectrometry: Discrimination of dissociative ionization products , 2000 .

[89]  M. V. Malyshev,et al.  Effects of plasma conditions on the shapes of features etched in Cl2 and HBr plasmas. I. Bulk crystalline silicon etching , 1998 .

[90]  M. V. Malyshev,et al.  Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl2+ and Cl+ densities , 2000 .

[91]  A. Lichtenberg,et al.  Capacitive discharges driven by combined dc/rf sources , 2007 .

[92]  L. Maissel,et al.  Application of RF discharges to sputtering , 1970 .

[93]  Amy E. Wendt,et al.  High-density plasma sources , 2000 .

[94]  V. M. Donnelly,et al.  Ion energy distributions, electron temperatures, and electron densities in Ar, Kr, and Xe pulsed discharges , 2012 .

[95]  Stephen J. Fonash,et al.  An Overview of Dry Etching Damage and Contamination Effects , 1990 .

[96]  V. M. Donnelly,et al.  Interactions of chlorine plasmas with silicon chloride-coated reactor walls during and after silicon etching , 2012 .

[97]  H. Sakaue,et al.  Atomic Layer Controlled Digital Etching of Silicon , 1990 .

[98]  V. M. Donnelly,et al.  In situ pulsed laser‐induced thermal desorption studies of the silicon chloride surface layer during silicon etching in high density plasmas of Cl2 and Cl2/O2 mixtures , 1994 .

[99]  D. J. Economou Fast (tens to hundreds of eV) neutral beams for materials processing , 2008 .

[100]  V. M. Donnelly,et al.  Determination of electron temperature, atomic fluorine concentration, and gas temperature in inductively coupled fluorocarbon/rare gas plasmas using optical emission spectroscopy , 2002 .

[101]  K. R. MacKenzie,et al.  Magnetic Multipole Containment of Large Uniform Collisionless Quiescent Plasmas , 1973 .

[102]  Kevin K. H. Chan,et al.  In situ spatially resolved surface characterization of realistic semiconductor structure after reactive ion etching process , 1988 .

[103]  C. Steinbrüchel Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy , 1989 .

[104]  R. D'agostino,et al.  On the use of actinometric emission spectroscopy in SF6-O2 radiofrequency discharges: Theoretical and experimental analysis , 1985 .

[105]  V. M. Donnelly,et al.  Oxidation of Si beneath thin SiO2 layers during exposure to HBr/O2 plasmas, investigated by vacuum transfer x-ray photoelectron spectroscopy , 1999 .

[106]  S. Ang,et al.  Reactive Ion Etching of Thin Gold Films , 1993 .

[107]  D. J. Economou,et al.  Molecular dynamics simulation of atomic layer etching of silicon , 1995 .

[108]  R. Feynman Simulating physics with computers , 1999 .

[109]  F. Schmidt-Kaler,et al.  Quantum computing with trapped ions , 2008, 0809.4368.

[110]  Iu. P. Raizer Gas Discharge Physics , 1991 .

[111]  E. R. Fisher,et al.  A modified molecular beam instrument for the imaging of radicals interacting with surfaces during plasma processing , 1997 .

[112]  K. J. Kuhn,et al.  Considerations for Ultimate CMOS Scaling , 2012, IEEE Transactions on Electron Devices.

[113]  J. McVittie,et al.  Synchrotron radiation-induced surface-conductivity of SiO2 for modification of plasma charging , 2000 .

[114]  J. K. Olthoff,et al.  The Gaseous Electronics Conference RF Reference Cell—An Introduction , 1995, Journal of research of the National Institute of Standards and Technology.

[115]  K. Gleason,et al.  Cross-Linked Organic Sacrificial Material for Air Gap Formation by Initiated Chemical Vapor Deposition , 2008 .

[116]  M. V. Malyshev,et al.  Role of sidewall scattering in feature profile evolution during Cl2 and HBr plasma etching of silicon , 2000 .

[117]  M. V. Malyshev,et al.  Mask charging and profile evolution during chlorine plasma etching of silicon , 2000 .

[118]  Y. H. Lee,et al.  Study of silicon contamination and near‐surface damage caused by CF4/H2 reactive ion etching , 1984 .

[119]  M. V. Malyshev,et al.  Dynamics of pulsed-power chlorine plasmas , 1999 .

[120]  D. Hsu 50 nm linewidth platinum sidewall lithography by effusive‐source metal precursor chemical deposition and ion‐assisted etching , 1991 .

[121]  P. Wayner,et al.  Etching of xerogel in high-density fluorocarbon plasmas , 2000 .

[122]  H. Yano,et al.  Additive Nitrogen Effects on Oxygen Plasma Downstream Ashing , 1990 .

[123]  Oleg A. Popov,et al.  High density plasma sources : design, physics and performance , 1995 .

[124]  Mark S. Lundstrom,et al.  Sub-10 nm carbon nanotube transistor , 2011, 2011 International Electron Devices Meeting.

[125]  Shiying Xiong,et al.  Gate line-edge roughness effects in 50-nm bulk MOSFET devices , 2002, SPIE Advanced Lithography.

[126]  E. Aydil,et al.  Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films , 1997 .

[127]  V. M. Donnelly,et al.  Cl atom recombination on silicon oxy-chloride layers deposited on chamber walls in chlorine–oxygen plasmas , 2012 .

[128]  H. F. Winters,et al.  Ion- and electron-assisted gas-surface chemistry—An important effect in plasma etching , 1979 .

[129]  David B. Graves,et al.  Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193nm photoresist roughening and degradation , 2008 .

[130]  Sang-jun Choi,et al.  Inductively Coupled Pulsed Plasmas in the Presence of Synchronous Pulsed Substrate Bias for Robust, Reliable, and Fine Conductor Etching , 2009, IEEE Transactions on Plasma Science.

[131]  V. M. Donnelly,et al.  Effect of Cu contamination on recombination of O atoms on a plasma-oxidized silicon surface , 2009 .

[132]  S. Wong,et al.  Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures on Silicon , 1998 .

[133]  John Ringwood,et al.  Real-time plasma control in a dual-frequency, confined plasma etcher , 2008 .

[134]  J. Bohdansky,et al.  Sputtering yields for light ions as a function of angle of incidence , 1979 .

[135]  S. Fonash,et al.  Observation of boron acceptor neutralization in silicon produced by CF4 reactive ion etching or Ar ion beam etching , 1986 .

[136]  Forecast of VLSI processing—A historical review of the first dry-processed IC , 1979, IEEE Transactions on Electron Devices.

[137]  H. Arimoto,et al.  Charge build‐up in Si‐processing plasma caused by electron shading effect , 1996 .

[138]  Mark J. Kushner,et al.  High energy electron fluxes in dc-augmented capacitively coupled plasmas. II. Effects on twisting in high aspect ratio etching of dielectrics , 2010 .

[139]  R. E. Walkup,et al.  Studies of atomic oxygen in O2+CF4 rf discharges by two‐photon laser‐induced fluorescence and optical emission spectroscopy , 1986 .

[140]  M. Y. Simmons,et al.  A single atom transistor , 2012, 2012 IEEE Silicon Nanoelectronics Workshop (SNW).

[141]  Cheng,et al.  Laser-induced thermal desorption as an in situ surface probe during plasma processing. , 1994, Physical review letters.

[142]  A. Lichtenberg,et al.  Principles of Plasma Discharges and Materials Processing: Lieberman/Plasma 2e , 2005 .

[143]  B. E. Kane A silicon-based nuclear spin quantum computer , 1998, Nature.

[144]  T. Oomori,et al.  Simulation of Ion Trajectories near Submicron-Patterned Surface Including Effects of Local Charging and Ion Drift Velocity toward Wafer , 1994 .

[145]  D. Flamm,et al.  Multiple‐Etchant Loading Effect and Silicon Etching in ClF3 and Related Mixtures , 1982 .

[146]  Osamu Wada,et al.  Ion‐Beam Etching of InP and Its Application to the Fabrication of High Radiance InGaAsP / InP Light Emitting Diodes , 1984 .

[147]  Vincent Wiaux,et al.  Mandrel-based patterning: density multiplication techniques for 15nm nodes , 2011, Advanced Lithography.

[148]  V. M. Donnelly,et al.  Recombination reactions of oxygen atoms on an anodized aluminum plasma reactor wall, studied by a spinning wall method. , 2005, The journal of physical chemistry. B.

[149]  Raymond A. Cirelli,et al.  Ultraviolet-visible ellipsometry for process control during the etching of submicrometer features , 1995 .

[150]  K. Gleason,et al.  Air-Gap Fabrication Using a Sacrificial Polymeric Thin Film Synthesized via Initiated Chemical Vapor Deposition , 2006 .

[151]  Seiji Samukawa,et al.  Time Variation of Plasma Properties in a Pulse-Time-Modulated Electron Cyclotron Resonance Discharge of Chlorine Gas , 1995 .

[152]  D. Graves,et al.  Molecular dynamics simulations of Ar+ and Cl+ impacts onto silicon surfaces: Distributions of reflected energies and angles , 1998 .

[153]  Y. Hikosaka,et al.  Diagnostics and control of radicals in an inductively coupled etching reactor , 1995 .

[154]  V. M. Donnelly,et al.  Chemical topography of anisotropic etching of polycrystalline Si masked with photoresist , 1994 .

[155]  M. Surendra,et al.  Fluorocarbon high density plasma. VI. Reactive ion etching lag model for contact hole silicon dioxide etching in an electron cyclotron resonance plasma , 1994 .

[156]  T. Tsuchimoto,et al.  End Point Detection in Plasma Etching by Optical Emission Spectroscopy , 1980 .

[157]  Francis F. Chen,et al.  1 – Helicon Plasma Sources , 1995 .

[158]  M. Hori,et al.  Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists , 2011 .

[159]  James P. McVittie,et al.  Mechanism of Surface Charging Effects on Etching Profile Defects , 1994 .

[160]  Shyam P Murarka,et al.  Silicides for VLSI Applications , 1983 .

[161]  A Review of SiO2 Etching Studies in Inductively Coupled Fluorocarbon Plasmas , 2001 .

[162]  Olivier Joubert,et al.  X-ray photoelectron spectroscopy investigation of sidewall passivation films formed during gate etch processes , 2001 .

[163]  T. Makabe,et al.  The effect of topographical local charging on the etching of deep-submicron structures in SiO2 as a function of aspect ratio , 2001 .

[164]  V. M. Donnelly,et al.  Mass and Auger electron spectroscopy studies of the interactions of atomic and molecular chlorine on a plasma reactor wall , 2008 .

[165]  Sungki Park,et al.  Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool , 2007, SPIE Advanced Lithography.

[166]  S. Sakamori,et al.  Evaluation of Electron Shading Charge Buildup Damage Using Metal-Nitride-Oxide-Silicon Capacitors , 1997 .

[167]  M. Kushner,et al.  Pulsed inductively coupled chlorine plasmas in the presence of a substrate bias , 2001 .

[168]  E. A. Ogryzlo,et al.  Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms , 1991 .

[169]  J. Stevens 7 – Electron Cyclotron Resonance Plasma Sources , 1995 .

[170]  Francis F. Chen,et al.  Plasma ionization by helicon waves , 1991 .

[171]  J. Coburn Role of ions in reactive ion etching , 1994 .

[172]  L. Ephrath,et al.  Parameter and Reactor Dependence of Selective Oxide RIE in CF 4 + H 2 , 1982 .

[173]  M. V. Malyshev,et al.  Reduction of plasma induced damage in an inductively coupled plasma using pulsed source power , 2000 .

[174]  Jane P. Chang,et al.  Kinetic study of low energy ion-enhanced polysilicon etching using Cl, Cl2, and Cl+ beam scattering , 1997 .

[175]  C. M. Melliar‐Smith Ion etching for pattern delineation , 1976 .

[176]  D. J. Economou,et al.  Anisotropic etching of polymer films by high energy (∼100s of eV) oxygen atom neutral beams , 2001 .

[177]  J. Benedikt,et al.  Quadrupole mass spectrometry of reactive plasmas , 2012 .

[178]  V. M. Donnelly,et al.  Investigation of fluorocarbon plasma deposition from c‐C4F8 for use as passivation during deep silicon etching , 2004 .

[179]  C. J. Mogab,et al.  The Loading Effect in Plasma Etching , 1977 .

[180]  M. Nagatsu,et al.  High-density flat plasma production based on surface waves , 1998 .

[181]  W. Whitten,et al.  Microfabricated quadrupole ion trap for mass spectrometer applications. , 2006, Physical review letters.

[182]  H. W. Lehmann,et al.  Profile control by reactive sputter etching , 1978 .

[183]  Yasuhiro Yamamoto,et al.  Digital etching of GaAs: New approach of dry etching to atomic ordered processing , 1990 .

[184]  K. Nakamura,et al.  Negative ion measurements and etching in a pulsed-power inductively coupled plasma in chlorine , 1996 .

[185]  V. M. Donnelly,et al.  Plasma-surface reactions at a spinning wall. , 2006, Physical Review Letters.

[186]  Stanley Mazor,et al.  The history of the 4004 , 1996, IEEE Micro.

[187]  D. Manos,et al.  Plasma etching : an introduction , 1989 .

[188]  V. M. Donnelly,et al.  Energy distribution and flux of fast neutrals and residual ions extracted from a neutral beam source , 2006 .

[189]  Harmeet Singh,et al.  Maintaining reproducible plasma reactor wall conditions: SF6 plasma cleaning of films deposited on chamber walls during Cl2/O2 plasma etching of Si , 2002 .

[190]  Andrew S. Dzurak,et al.  High-fidelity readout and control of a nuclear spin qubit in silicon , 2013, Nature.

[191]  J. Fleming,et al.  Bulk Si Micromachining for Integrated Microsystems and MEMS Processing , 2000 .

[192]  J. Michelon,et al.  Challenges in the implementation of low-k dielectrics in the back-end of line , 2005 .

[193]  Warren Montgomery,et al.  22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) , 2008, SPIE Advanced Lithography.

[194]  V. M. Donnelly,et al.  On the constant composition and thickness of the chlorinated silicon surface layer subjected to increasing etching product concentrations during chlorine plasma etching , 1999 .

[195]  T. Mayer,et al.  Removal of Fluorocarbon Residues on CF 4 / H 2 Reactive‐Ion‐Etched Silicon Surfaces Using a Hydrogen Plasma , 1991 .

[196]  M. Balooch,et al.  Ion-assisted etching and profile development of silicon in molecular chlorine , 1997 .

[197]  Winters,et al.  Erratum: Influence of doping on the etching of Si(111) , 1987, Physical review. B, Condensed matter.

[198]  E. A. Ogryzlo,et al.  Rate constants for the reaction of Cl atoms with intrinsic and n+‐doped polycrystalline silicon , 1991 .

[199]  C. Horwitz Rf sputtering–voltage division between two electrodes , 1983 .

[200]  M. V. Malyshev,et al.  Dynamics of inductively-coupled pulsed chlorine plasmas in the presence of continuous substrate bias , 2000 .

[201]  V. M. Donnelly,et al.  Critical review: Plasma-surface reactions and the spinning wall method , 2011 .

[202]  C. Fleddermann,et al.  Characterization of pulse-modulated inductively coupled plasmas in argon and chlorine , 1997 .

[203]  M. Kushner,et al.  Fluorocarbon plasma etching and profile evolution of porous low-dielectric-constant silica , 2003 .

[204]  H. S. Butler,et al.  Plasma Sheath Formation by Radio‐Frequency Fields , 1963 .

[205]  Saswato R. Das A crowd of quantum entanglements , 2011 .

[206]  K. R. Williams,et al.  Etch rates for micromachining processing-Part II , 2003 .

[207]  M. V. Malyshev,et al.  Laser-induced fluorescence and Langmuir probe determination of Cl2+ and Cl+ absolute densities in transformer-coupled chlorine plasmas , 1999 .

[208]  T. Ohmi,et al.  Low-Dielectric-Constant Nonporous Fluorocarbon Films for Interlayer Dielectric , 2008 .

[209]  V. M. Donnelly,et al.  Control of ion energy distributions using a pulsed plasma with synchronous bias on a boundary electrode , 2011 .

[210]  R. Heinecke Plasma reactor design for the selective etching of SiO2 on Si , 1976 .

[211]  T. Lu,et al.  A novel polycarbosilane-based low-k dielectric material , 2006 .

[212]  A. Rohatgi,et al.  Comparison of the damage and contamination produced by CF4 and CF4/H2 reactive ion etching: the role of hydrogen , 1986 .

[213]  G. Oehrlein,et al.  In situ ellipsometry and reflectometry during etching of patterned surfaces: Experiments and simulations , 1992 .

[214]  R. Boswell,et al.  Plasma production using a standing helicon wave , 1970 .

[215]  D. Graves,et al.  Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication , 2011 .

[216]  E. R. Fisher,et al.  Surface interactions of CF2 radicals during deposition of amorphous fluorocarbon films from CHF3 plasmas , 1998 .

[217]  Gottlieb S. Oehrlein,et al.  Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine‐based reactive ion etching of silicon trenches , 1990 .

[218]  M. V. Malyshev,et al.  Percent dissociation of Cl2 in inductively coupled, chlorine-containing plasmas , 1998 .

[219]  J. Keinonen,et al.  Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen , 1991 .

[220]  S. Pearton,et al.  High rate dry etching of Ni0.8Fe0.2 and NiFeCo , 1997 .

[221]  D. James Quantum dynamics of cold trapped ions with application to quantum computation , 1997, quant-ph/9702053.

[222]  C. Cardinaud,et al.  High density fluorocarbon plasma etching of methylsilsesquioxane SiOC(H) low-k material and SiC(H) etch stop layer: surface analyses and investigation of etch mechanisms , 2007 .

[223]  J. Childress,et al.  Parametric Study of NiFe and NiFeCo High Density Plasma Etching Using CO / NH 3 , 1999 .

[224]  Ping Xu,et al.  Innovative self-aligned triple patterning for 1x half pitch using single "spacer deposition-spacer etch" step , 2011, Advanced Lithography.

[225]  Jane P. Chang,et al.  Kinetic study of low energy argon ion-enhanced plasma etching of polysilicon with atomic/molecular chlorine , 1997 .

[226]  V. M. Donnelly,et al.  Etching of high-k dielectric Zr1−xAlxOy films in chlorine-containing plasmas , 2001 .

[227]  R. Poulsen Plasma etching in integrated circuit manufacture—A review , 1977 .

[228]  Molecular dynamics for low temperature plasma?surface interaction studies , 2009, 0902.2695.

[229]  H. Chae,et al.  Silicon etching yields in F2, Cl2, Br2, and HBr high density plasmas , 2001 .

[230]  R. A. Barker,et al.  Surface studies of and a mass balance model for Ar+ ion‐assisted Cl2 etching of Si , 1983 .

[231]  V. M. Donnelly,et al.  Competitive halogenation of silicon surfaces in HBr/Cl2 plasmas studied with x‐ray photoelectron spectroscopy and in situ, real‐time, pulsed laser‐induced thermal desorption , 1995 .

[232]  Luming Duan,et al.  Colloquium: Quantum networks with trapped ions , 2010 .

[233]  Dale E. Ibbotson,et al.  Doping and crystallographic effects in Cl‐atom etching of silicon , 1990 .

[234]  P. Heimann,et al.  Optical Etch‐Rate Monitoring: Computer Simulation of Reflectance , 1984 .

[235]  M. P. Lepselter Beam-lead technology , 1966 .

[236]  B. Chapman,et al.  Triode plasma etching , 1979 .

[237]  D. Flamm WITHDRAWN: Introduction to Plasma Chemistry , 1989 .

[238]  V. M. Donnelly,et al.  Cl2 plasma etching of Si(100): Nature of the chlorinated surface layer studied by angle-resolved x-ray photoelectron spectroscopy , 1997 .

[239]  Takhee Lee,et al.  Single Molecule Electronic Devices , 2011, Advanced materials.

[240]  Matthew Goeckner,et al.  A source of hyperthermal neutrals for materials processing , 1997 .

[242]  A. Kummel,et al.  Mechanisms of Halogen Chemisorption upon a Semiconductor Surface: I2, Br2, Cl2, and C6H5Cl Chemisorption upon the Si(100) (2.times.1) Surface , 1994 .

[243]  D. J. Economou,et al.  Alternating fluxes of positive and negative ions from an ion–ion plasma , 2001 .

[244]  Myrtle I. Blakey,et al.  200-mm SCALPEL mask development , 1999, Advanced Lithography.

[245]  V. M. Donnelly,et al.  Abrupt transitions in species number densities and plasma parameters in a CH3F/O2 inductively coupled plasma , 2013 .

[246]  Vincent M. Donnelly,et al.  Optical emission actinometry and spectral line shapes in rf glow discharges , 1984 .

[247]  M. Shiratani,et al.  Effects of low‐frequency modulation on rf discharge chemical vapor deposition , 1988 .

[248]  V. M. Donnelly,et al.  Mechanism for anisotropic etching of photoresist‐masked, polycrystalline silicon in HBr plasmas , 1996 .

[249]  N. Sadeghi,et al.  Influence of reactor walls on plasma chemistry and on silicon etch product densities during silicon etching processes in halogen-based plasmas , 2004 .

[250]  D. C. Gray,et al.  Plasma–surface interactions in fluorocarbon etching of silicon dioxide , 1991 .

[251]  R. H. Havemann,et al.  High-performance interconnects: an integration overview , 2001, Proc. IEEE.

[252]  William B. Knowlton,et al.  Polymer Thickness Effects on Bosch Etch Profiles , 2002 .

[253]  K. Giapis,et al.  On the origin of the notching effect during etching in uniform high density plasmas , 1997 .

[254]  A. Bright,et al.  X‐ray photoemission spectroscopy characterization of silicon surfaces after CF4/H2 magnetron ion etching: Comparisons to reactive ion etching , 1988 .

[255]  J. Greene,et al.  Optical spectroscopy for diagnostics and process control during glow discharge etching and sputter deposition , 1978 .

[256]  G. Oehrlein Surface processes in low pressure plasmas , 1997 .

[257]  V. M. Donnelly,et al.  Anisotropic etching of SiO2 in low‐frequency CF4/O2 and NF3/Ar plasmas , 1984 .

[258]  J. E. Griffiths,et al.  Spectroscopic Study of Radiofrequency Oxygen Plasma Stripping of Negative Photoresists. I. Ultraviolet Spectrum , 1976 .

[259]  C. Mack Fundamental principles of optical lithography , 2007 .

[260]  V. M. Donnelly,et al.  Analysis of the etching of silicon in an inductively coupled chlorine plasma using laser thermal desorption , 1997 .

[261]  M. Heitzmann,et al.  X-ray photoelectron spectroscopy analyses of metal stacks etched in Cl2/BCl3 high density plasmas , 1998 .

[262]  Seiji Samukawa,et al.  Pulse‐time modulated electron cyclotron resonance plasma etching for highly selective, highly anisotropic, and less‐charging polycrystalline silicon patterning , 1994 .

[263]  Olivier Joubert,et al.  Plasma impact on 193 nm photoresist linewidth roughness: Role of plasma vacuum ultraviolet light , 2009 .

[264]  Y. Horiike,et al.  High-Rate Reactive Ion Etching of SiO2 Using a Magnetron Discharge , 1981 .

[265]  V. M. Donnelly,et al.  Particle-in-cell simulation of ion energy distributions on an electrode by applying tailored bias waveforms in the afterglow of a pulsed plasma , 2011 .

[266]  J. Gaddis. The Landscape of History: How Historians Map the Past , 2002 .

[267]  J. McVittie,et al.  Direct Measurement of Surface Charging during Plasma Etching , 1994 .

[268]  M. Sekine,et al.  Mechanism of highly selective SiO2 contact hole etching , 2002 .

[269]  H. Nakata,et al.  Plasma etching characteristics of chromium film and its novel etching mode , 1980 .

[270]  J. Bondur Dry process technology (reactive ion etching) , 1976 .

[271]  J. Forster,et al.  2 – Planar Inductive Sources , 1995 .

[272]  J. Frackoviak,et al.  Tungsten patterning for 1:1 x‐ray masks , 1991 .

[273]  S. Pearton,et al.  Development of electron cyclotron resonance and inductively coupled plasma high density plasma etching for patterning of NiFe and NiFeCo , 1998 .

[274]  D. J. Economou,et al.  Fluid simulation of a pulsed-power inductively coupled argon plasma , 1998 .

[275]  T. Durbin,et al.  Stimulated desorption of Cl+ and the chemisorption of Cl2 on Si(111)-7×7 and Si(100)-2×1 , 1994 .

[276]  I. Adesida,et al.  Chemically assisted ion beam etching for submicron structures , 1983 .

[277]  J Shul,et al.  Handbook of Advanced Plasma Processing Techniques , 2000 .

[278]  Koichi Hashimoto,et al.  New Phenomena of Charge Damage in Plasma Etching: Heavy Damage Only through Dense-Line Antenna , 1993 .

[279]  Eric A. Joseph,et al.  Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide , 2004 .

[280]  V. M. Donnelly A simple optical emission method for measuring percent dissociations of feed gases in plasmas: Application to Cl2 in a high‐density helical resonator plasma , 1996 .

[281]  D. Graves,et al.  Molecular‐dynamics simulations of direct reactive ion etching of silicon by fluorine and chlorine , 1995 .

[282]  K. Giapis,et al.  Pattern-Dependent Charging and the Role of Electron Tunneling , 1998 .

[283]  E. Aydil,et al.  New diagnostic method for monitoring plasma reactor walls: Multiple total internal reflection Fourier transform infrared surface probe , 2001 .

[284]  T. Tsukada,et al.  Etching characteristics of magnetic materials (Co, Fe, Ni) using CO/NH3 gas plasma for hardening mask etching , 2002 .

[285]  D. Hess,et al.  Aluminum etching in boron tribromide plasmas , 1985 .

[286]  V. M. Donnelly,et al.  Auger electron spectroscopy of surfaces during exposure to gaseous discharges , 2007 .

[287]  A. Kornblit,et al.  Linewidth Control In Trilevel Etching , 1987, Advanced Lithography.

[288]  James P. McVittie,et al.  A two-dimensional computer simulation for dry etching using Monte Carlo techniques , 1989 .

[289]  R. Blanc,et al.  Analyses of the chemical topography of silicon dioxide contact holes etched in a high density plasma source , 1997 .

[290]  V. M. Donnelly,et al.  Studies of chlorine-oxygen plasmas and evidence for heterogeneous formation of ClO and ClO2 , 2009 .

[291]  Characterization of transformer coupled oxygen plasmas by trace rare gases-optical emission spectroscopy and Langmuir probe analysis , 2000 .