Buffer planning algorithm based on partial clustered floorplanning

In this paper, we propose a partial clustered floorplanning methodology with buffer planning. The theoretic analyses show that the timing constraints can be transferred into bounding box constraint and the spacing between buffers is somewhat stable. Therefore the critical nets can be controlled by the clustering strategy. The cluster strategies in our approach are designed not only for localizing the critical nets, but also for facilitating the buffer insertion of long wires. Based on the CBL representation, we devise sub CBL to represent the cluster and embed the optimization of the clusters into the annealing process. In most of the previous clustering-based methods, the shape of the cluster was restricted to a square. In this paper, however, we remove this restriction by treating the cluster as the sub packing. Our method can achieve a very stable performance. Experimental results on the MCNC benchmark show the effectiveness of the method and prove the correctness of the theoretic analyses.

[1]  Jason Cong,et al.  Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[2]  Martin D. F. Wong,et al.  Planning buffer locations by network flows , 2000, ISPD '00.

[3]  C. Alpert,et al.  Wire Segmenting For Improved Buffer Insertion , 1997, Proceedings of the 34th Design Automation Conference.

[4]  Evangeline F. Y. Young,et al.  Slicing floorplan with clustering constraint , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Yici Cai,et al.  Dynamic global buffer planning optimization based on detail block locating and congestion analysis , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[6]  Cheng-Kok Koh,et al.  Routability-driven repeater block planning for interconnect-centric floorplanning , 2000, ISPD '00.

[7]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[8]  Martin D. F. Wong,et al.  Floorplanning with alignment and performance constraints , 2002, DAC '02.

[9]  Cheng-Kok Koh,et al.  Flip-flop and repeater insertion for early interconnect planning , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[10]  Chung-Kuan Cheng,et al.  VLSI Floorplanning with Boundary Constraints Using Corner Block List Representation , 2001 .

[11]  Jun Gu,et al.  Buffer planning as an Integral part of floorplanning with consideration of routing congestion , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Yici Cai,et al.  Corner block list representation and its application to floorplan optimization , 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.

[13]  Evangeline F. Y. Young,et al.  Slicing floorplan with clustering constraints , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).