ATPG and DFT Algorithms for Delay Fault Testing
暂无分享,去创建一个
[1] Janak H. Patel,et al. Fast identification of untestable delay faults using implications , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[2] Janak H. Patel,et al. A graph traversal based framework for sequential logic implication with an application to C-cycle redundancy identification , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.
[3] B. Koneman,et al. LFSR-Coded Test Patterns for Scan Designs , 1993 .
[4] Irith Pomeranz,et al. COMPACTEST: a method to generate compact test sets for combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] Srinivas Patil,et al. Broad-side delay test , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[6] David E. Long,et al. Identifying sequential redundancies without search , 1996, DAC '96.
[7] Kuen-Jong Lee,et al. Using a single input to support multiple scan chains , 1998, ICCAD '98.
[8] Franc Brglez,et al. Accelerated Transition Fault Simulation , 1987, 24th ACM/IEEE Design Automation Conference.
[9] Peter C. Maxwell,et al. Comparing functional and structural tests , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[10] Richard D. Eldred. Test Routines Based on Symbolic Logical Statements , 1959, JACM.
[11] Irith Pomeranz,et al. REDI: an efficient fault oriented procedure to identify redundant faults in combinational logic circuits , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[12] Gordon L. Smith,et al. Model for Delay Faults Based upon Paths , 1985, ITC.
[13] Janak H. Patel,et al. Fast identification of untestable delay faults using implications , 1997, ICCAD 1997.
[14] Irith Pomeranz,et al. On Removing Redundancies from Synchronous Sequential Circuits with Synchronizing Sequences , 1996, IEEE Trans. Computers.
[15] Brion L. Keller,et al. OPMISR: the foundation for compressed ATPG vectors , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[16] Srinivas Patil,et al. Skewed-Load Transition Test: Part II, Coverage , 1992, Proceedings International Test Conference 1992.
[17] Michael S. Hsiao,et al. Efficient Transition Fault ATPG Algorithms Based on Stuck-At Test Vectors , 2003, J. Electron. Test..
[18] Daniel Brand,et al. Identification of redundant delay faults , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[19] Dhiraj K. Pradhan,et al. Sequential redundancy identification using recursive learning , 1996, Proceedings of International Conference on Computer Aided Design.
[20] Prabhakar Goel,et al. An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.
[21] Jau-Shien Chang,et al. Test set compaction for combinational circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] Kwang-Ting Cheng,et al. Transition fault testing for sequential circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[23] Gordon D. Robinson. DFT-Focused Chip Testers: What Can They Really Do? , 2000 .
[24] Qiang Peng,et al. MUST: multiple-stem analysis for identifying sequentially untestable faults , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[25] Jeff Rearick. Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[26] Xiao Liu,et al. Hybrid delay scan: a low hardware overhead scan-based delay test technique for high fault coverage and compact test sets , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[27] Dhiraj K. Pradhan,et al. Recursive learning: a new implication technique for efficient solutions to CAD problems-test, verification, and optimization , 1994, The IEEE International Symposium on Circuits and Systems, 2003. Tutorial Guide: ISCAS 2003..
[28] Nur A. Touba,et al. Reducing test data volume using external/LBIST hybrid test patterns , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[29] Michael S. Hsiao. Maximizing impossibilities for untestable fault identification , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[30] Elizabeth M. Rudnick,et al. Static logic implication with application to redundancy identification , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).
[31] Janak H. Patel,et al. Segment delay faults: a new fault model , 1996, Proceedings of 14th VLSI Test Symposium.
[32] Janak H. Patel,et al. New Techniques for Deterministic Test Pattern Generation , 1999, J. Electron. Test..
[33] Michael S. Hsiao,et al. A novel, low-cost algorithm for sequentially untestable fault identification , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[34] Miron Abramovici,et al. FIRE: a fault-independent combinational redundancy identification algorithm , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[35] Sujit Dey,et al. Software-based self-testing methodology for processor cores , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[36] Michael S. Hsiao,et al. Novel ATPG algorithms for transition faults , 2002, Proceedings The Seventh IEEE European Test Workshop.
[37] Robert K. Brayton,et al. Efficient identification of non-robustly untestable path delay faults , 1997, Proceedings International Test Conference 1997.
[38] Dawit Belete,et al. Use of DFT techniques in speed grading a 1 GHz+ microprocessor , 2002, Proceedings. International Test Conference.
[39] Irith Pomeranz,et al. On finding undetectable and redundant faults in synchronous sequential circuits , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[40] Irith Pomeranz,et al. New procedures for identifying undetectable and redundant faults in synchronous sequential circuits , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[41] B. I. Devadas,et al. Design for testability : Using scanpath techniques for path-delay test and measurement , 1991 .
[42] IEEE Design & Test of Computers , 1996, IEEE Design & Test of Computers.
[43] Sujit Dey,et al. Embedded software-based self-testing for SoC design , 2002, DAC '02.
[44] Krishnendu Chakrabarty,et al. Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
[45] B. Koenemann. LFSR-coded test patterns for scan designs , 1991 .
[46] Kwang-Ting Cheng,et al. On testing the path delay faults of a microprocessor using its instruction set , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[47] Kenneth M. Butler,et al. Scan-based transition fault testing - implementation and low cost test challenges , 2002, Proceedings. International Test Conference.
[48] Srinivas Patil,et al. Scan-based transition test , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[49] Michael S. Hsiao,et al. Techniques to reduce data volume and application time for transition test , 2002, Proceedings. International Test Conference.
[50] Michael S. Hsiao,et al. Efficient techniques for transition testing , 2005, TODE.
[51] Michael S. Hsiao,et al. Identifying untestable transition faults in latch based designs with multiple clocks , 2004, 2004 International Conferce on Test.
[52] Kwang-Ting Cheng,et al. Test program synthesis for path delay faults in microprocessor cores , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[53] David Bryan,et al. Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.
[54] Srimat T. Chakradhar,et al. A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[55] Janak H. Patel,et al. Reducing test application time for full scan embedded cores , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[56] Michael S. Hsiao,et al. Sequential circuit test generation using dynamic state traversal , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[57] Jacob Savir,et al. AT-SPEED TEST IS NOT NECESSARILY AN AC TEST , 1991, 1991, Proceedings. International Test Conference.
[58] Melvin A. Breuer,et al. Digital systems testing and testable design , 1990 .
[59] Vishwani D. Agrawal,et al. Combinational ATPG theorems for identifying untestable faults in sequential circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[60] Vishwani D. Agrawal,et al. A transitive closure algorithm for test generation , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[61] Jeff Rearick,et al. Deception by design: fooling ourselves with gate-level models , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[62] On identifying undetectable and redundant faults in synchronous sequential circuits , 1994, Proceedings of IEEE VLSI Test Symposium.
[63] Sudhakar M. Reddy,et al. On the fault coverage of gate delay fault detecting tests , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[64] L. H. Goldstein,et al. SCOAP: Sandia Controllability/Observability Analysis Program , 1980, 17th Design Automation Conference.
[65] Gang Chen,et al. Procedures for identifying untestable and redundant transition faults in synchronous sequential circuits , 2003, Proceedings 21st International Conference on Computer Design.
[66] Michael S. Hsiao,et al. Constrained ATPG for broadside transition testing , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
[67] D. Pradhan,et al. Recursive learning: a new implication technique for efficient solutions to CAD problems-test, verification, and optimization , 2003 .
[68] Jacob Savir. Skewed-Load Transition Test: Part I, Calculus , 1992, Proceedings International Test Conference 1992.
[69] Eric Lindbloom,et al. Transition Fault Simulation , 1987, IEEE Design & Test of Computers.