A novel shared-buffer router for network-on-chip based on Hierarchical Bit-line Buffer

Buffer resources are key components of the on-chip router, shared-buffer structures are proposed to improve performance and reduce power consumption. This paper presents a novel on-chip network router with a shared-buffer based on Hierarchical Bit-line Buffer (HiBB). HiBB can be configured flexibly according to traffics and its inherent characteristic of low power is also noticeable. Moreover, we propose two schemes to further optimize the router. First, a congestion-aware output-port allocation scheme is used to assign higher priority to packets heading to light-loaded directions, and the congestion situation of the total network will be addressed. Second, an efficient run-time Virtual Channel (VC) regulation scheme is proposed to configure the shared buffer, so that VCs are allocated according to the loads of network. Experimental results show that the proposed HiBB router with about 6.9% area savings outperforms the generic router under different traffic patterns. The power consumption of the HiBB router can also be reduced up to about 70% of the generic router under light traffics, while it may exceed that of the generic one up to about 3.7–5.7% under heavy traffics for the increased flit transmissions.

[1]  Radu Marculescu,et al.  Virtual Channels Planning for Networks-on-Chip , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[2]  Dhiraj K. Pradhan,et al.  Single ended 6T SRAM with isolated read-port for low-power embedded systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Lei Gao,et al.  A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[4]  H. T. Nguyen,et al.  A neural network implementation of an input access scheme in a high-speed packet switch , 1989, IEEE Global Telecommunications Conference, 1989, and Exhibition. 'Communications Technology for the 1990s and Beyond.

[5]  J.G. Delgado-Frias,et al.  A Shared Self-Compacting Buffer for Network-On-Chip Systems , 2006, 2006 49th IEEE International Midwest Symposium on Circuits and Systems.

[6]  Li-Shiuan Peh,et al.  Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.

[7]  Chi-Ying Tsui,et al.  Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[8]  Yuval Tamir,et al.  High-performance multiqueue buffers for VLSI communication switches , 1988, [1988] The 15th Annual International Symposium on Computer Architecture. Conference Proceedings.

[9]  Nick McKeown,et al.  The iSLIP scheduling algorithm for input-queued switches , 1999, TNET.

[10]  Bill Lin,et al.  Design of a High-Throughput Distributed Shared-Buffer NoC Router , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[11]  Chita R. Das,et al.  ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[12]  Bill Lin,et al.  A High-Throughput Distributed Shared-Buffer NoC Router , 2009, IEEE Computer Architecture Letters.

[13]  William J. Dally,et al.  A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[14]  Hamid Sarbazi-Azad,et al.  The effect of virtual channel organization on the performance of interconnection networks , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[15]  Jun Yang,et al.  Simple virtual channel allocation for high throughput and high frequency on-chip routers , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[16]  Keshab K. Parhi,et al.  Low power SRAM design using hierarchical divided bit-line approach , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[17]  Kaushik Roy,et al.  A single-Vt low-leakage gated-ground cache for deep submicron , 2003, IEEE J. Solid State Circuits.

[18]  Zeljko Zilic,et al.  Reliability aware NoC router architecture using input channel buffer sharing , 2009, GLSVLSI '09.