Buffer block planning for interconnect planning and prediction

This paper studies buffer block planning (BBP) for interconnect planning and prediction in deep submicron designs. We first introduce the concept of a feasible region for buffer insertion, and derive its closed-form formula. We observe that the feasible region for a buffer is quite large in general even under fairly tight delay constraint. Therefore, it gives a lot of flexibility to plan for buffer locations. We then develop an effective BBP algorithm to perform buffer clustering such that design objectives such as overall chip area and the number of buffer blocks can be minimized. Effective BBP can plan and predict system-level interconnect by construction, so that accurate interconnect information can be used in early design stages to ensure design closure.

[1]  Jason Cong,et al.  Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[2]  Cheng-Kok Koh,et al.  Routability-driven repeater block planning for interconnect-centric floorplanning , 2000, ISPD '00.

[3]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[4]  Jason Cong,et al.  Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .

[5]  Jason Cong,et al.  Interconnect design for deep submicron ICs , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[6]  Andrew B. Kahng,et al.  Provably good global buffering using an available buffer block plan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[7]  Sachin S. Sapatnekar,et al.  A practical methodology for early buffer and wire resource allocation , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[8]  Jason Cong,et al.  Interconnect delay estimation models for synthesis and design planning , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

[9]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[10]  Cheng-Kok Koh,et al.  Repeater block planning under simultaneous delay and transition time constraints , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[11]  Jacob K. White,et al.  FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Andrew B. Kahng,et al.  Provably good global buffering by multi-terminal multicommodity flow approximation , 2001, ASP-DAC '01.

[13]  Tom Dillinger,et al.  Delay bounded buffered tree construction for timing driven floorplanning , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[14]  Robert K. Brayton,et al.  Planning for performance , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[15]  Jason Cong,et al.  Buffered Steiner tree construction with wire sizing for interconnect layout optimization , 1996, Proceedings of International Conference on Computer Aided Design.

[16]  Martin D. F. Wong,et al.  Planning buffer locations by network flows , 2000, ISPD '00.

[17]  Charles J. Alpert,et al.  Wire segmenting for improved buffer insertion , 1997, DAC.

[18]  Faming Liang,et al.  Relaxed simulated tempering for VLSI floorplan designs , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

[19]  R. H. J. M. Otten,et al.  Graphs in floor‐plan design , 1988 .

[20]  Wayne Wei-Ming Dai,et al.  Hierarchical placement and floorplanning in BEAR , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Ralph H. J. M. Otten,et al.  Global wires: harmful? , 1998, ISPD '98.

[22]  Martin D. F. Wong,et al.  Closed form solution to simultaneous buffer insertion/sizing and wire sizing , 1997, ISPD '97.

[23]  Chung-Kuan Cheng,et al.  Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1995, ICCAD.

[24]  Jason Cong,et al.  An interconnect-centric design flow for nanometer technologies , 2001, Proc. IEEE.

[25]  Jason Cong,et al.  Performance optimization of VLSI interconnect layout , 1996, Integr..

[26]  Yoji Kajitani,et al.  Rectangle-packing-based module placement , 1995, ICCAD.

[27]  Chung-Kuan Cheng,et al.  Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).