An Update on Emerging Memory: Progress to 2Xnm

This paper will give an update on the status of emerging memory (EM) and potential markets. The more popular EM technologies will be reviewed, including PCM, RRAM, and STRAM. The biggest challenges for each technology will be highlighted.

[1]  K. Aratani,et al.  A Novel Resistance Memory with High Scalability and Nanosecond Switching , 2007, 2007 IEEE International Electron Devices Meeting.

[2]  Yuan-Jen Lee,et al.  Magnetic Memory by Denny D. Tang , 2010 .

[3]  P. Bai,et al.  A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors , 2008, 2008 IEEE International Electron Devices Meeting.

[4]  F. Xiong,et al.  Integrating carbon-based nanoelectronics with chalcogenide phase change memory , 2010, 2010 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC).

[5]  L. Pileggi,et al.  Phase change random access memory, thermal analysis , 2006, Thermal and Thermomechanical Proceedings 10th Intersociety Conference on Phenomena in Electronics Systems, 2006. ITHERM 2006..

[6]  Jan M. van Ruitenbeek,et al.  Quantum properties of atomic-sized conductors , 2002, cond-mat/0208239.

[7]  Kinam Kim,et al.  A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O(5-x)/TaO(2-x) bilayer structures. , 2011, Nature materials.

[8]  R. Waser,et al.  Thermochemical resistive switching: materials, mechanisms, and scaling projections , 2011 .

[9]  H. K. Kang,et al.  PRAM cell technology and characterization in 20nm node size , 2011, 2011 International Electron Devices Meeting.

[10]  O. Richard,et al.  10×10nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation , 2011, 2011 International Electron Devices Meeting.

[11]  M. Kozicki,et al.  Electrochemical metallization memories—fundamentals, applications, prospects , 2011, Nanotechnology.

[12]  Frederick T. Chen,et al.  Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[13]  Ferdinando Bedeschi,et al.  A Multi-Level-Cell Bipolar-Selected Phase-Change Memory , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[14]  Clayton M. Christensen The Innovator's Dilemma , 1997 .

[15]  Robert Strenz Embedded Flash technologies and their applications: Status & outlook , 2011, 2011 International Electron Devices Meeting.

[16]  R. Dittmann,et al.  Redox‐Based Resistive Switching Memories – Nanoionic Mechanisms, Prospects, and Challenges , 2009, Advanced materials.

[17]  Yuan-Jen Lee,et al.  Magnetic Memory: Contents , 2010 .

[18]  Shimeng Yu,et al.  Conduction mechanism of TiN/HfOx/Pt resistive switching memory: A trap-assisted-tunneling model , 2011 .

[19]  Junji Tominaga,et al.  Phase Change Meta-material and Device Characteristics , 2010 .

[20]  A. Sebastian,et al.  Drift-resilient cell-state metric for multilevel phase-change memory , 2011, 2011 International Electron Devices Meeting.

[21]  Z. Wei,et al.  Demonstration of high-density ReRAM ensuring 10-year retention at 85°C based on a newly developed reliability model , 2011, 2011 International Electron Devices Meeting.

[22]  S. Le,et al.  A statistical study of magnetic tunnel junctions for high-density spin torque transfer-MRAM (STT-MRAM) , 2008, 2008 IEEE International Electron Devices Meeting.