Area efficient asynchronous SDM routers using 2-stage Clos switches

Asynchronous on-chip networks are good candidates for multi-core applications requiring low-power consumption. Asynchronous spatial division multiplexing (SDM) routers provide better throughput with lower area overhead than asynchronous virtual channel routers; however, the area overhead of SDM routers is still significant due to their high-radix central switches. A new 2-stage Clos switch is proposed to reduce the area overhead of asynchronous SDM routers. It is shown that replacing the crossbars with the 2-stage Clos switches can significantly reduce the area overhead of SDM routers when more than two virtual circuits are used. The saturation throughput is slightly reduced but the area to throughput efficiency is improved. Using Clos switches increases the energy consumption of switches but the energy of buffers is reduced.

[1]  Alain Greiner,et al.  SPIN: a scalable, packet switched, on-chip micro-network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[2]  Ran Ginosar,et al.  QNoC asynchronous router , 2009, Integr..

[3]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[4]  Didier Colle,et al.  Clos lives on in optical packet switching , 2004, IEEE Communications Magazine.

[5]  Eiji Oki,et al.  Broadband Packet Switching Technologies , 2001 .

[6]  Steven M. Nowick,et al.  The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Jens Sparsø,et al.  Principles of Asynchronous Circuit Design , 2001 .

[8]  William B. Toms,et al.  Delay-insensitive, point-to-point interconnect using m-of-n codes , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..

[9]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[10]  Eiji Oki,et al.  Broadband Packet Switching Technologies: A Practical Guide to ATM Switches and IP Routers , 2001 .

[11]  H. Jonathan Chao,et al.  Matching algorithms for three-stage bufferless Clos network switches , 2003, IEEE Commun. Mag..

[12]  Doug A. Edwards,et al.  Asynchronous spatial division multiplexing router , 2011, Microprocess. Microsystems.

[13]  Fabien Clermidy,et al.  An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.

[14]  Stephen B. Furber,et al.  An asynchronous on-chip network router with quality-of-service (QoS) support , 2004, IEEE International SOC Conference, 2004. Proceedings..

[15]  Gerard J. M. Smit,et al.  An energy-efficient reconfigurable circuit-switched network-on-chip , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[16]  Eckhard Grass,et al.  Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook , 2007, IEEE Design & Test of Computers.

[17]  Doug A. Edwards,et al.  A low latency wormhole router for asynchronous on-chip networks , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[18]  Steven M. Nowick,et al.  The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Charles Clos,et al.  A study of non-blocking switching networks , 1953 .

[20]  Diederik Verkest,et al.  Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip , 2008, IEEE Transactions on Computers.

[21]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[22]  Z. Liu,et al.  Routing of asynchronous Clos networks , 2011, IET Comput. Digit. Tech..

[23]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[24]  Steve Furber,et al.  Principles of Asynchronous Circuit Design: A Systems Perspective , 2010 .