Dark Silicon: From Computation to Communication
暂无分享,去创建一个
Heba Khdr | Muhammad Usman Karim Khan | Muhammad Shafique | Jörg Henkel | Siddharth Garg | Sri Parameswaran | Ümit Y. Ogras | Haseeb Bokhari | Florian Kriebel | J. Henkel | M. Shafique | S. Garg | S. Parameswaran | M. U. Khan | H. Bokhari | Heba Khdr | F. Kriebel
[1] Siddharth Garg,et al. Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] R.C. Baumann,et al. Radiation-induced soft errors in advanced semiconductor technologies , 2005, IEEE Transactions on Device and Materials Reliability.
[3] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[4] Heba Khdr,et al. Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Heba Khdr,et al. TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[6] Muhammad Usman Karim Khan,et al. Power-efficient accelerator allocation in adaptive dark silicon many-core systems , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[7] Muhammad Shafique,et al. The EDA challenges in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[8] Muhammad Shafique,et al. Reliability-Driven Software Transformations for Unreliable Hardware , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Muhammad Shafique,et al. darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark silicon , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[10] James D. Warnock. Circuit design challenges at the 14nm technology node , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[11] Muhammad Shafique,et al. Dark silicon as a challenge for hardware/software co-design , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[12] P. R. Kumar,et al. Optimal control of a queueing system with two heterogeneous servers , 1984 .
[13] Heba Khdr,et al. New trends in dark silicon , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[14] Muhammad Shafique,et al. ASER: Adaptive soft error resilience for Reliability-Heterogeneous Processors in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Jörg Henkel,et al. Invasive manycore architectures , 2012, 17th Asia and South Pacific Design Automation Conference.
[16] Muhammad Shafique,et al. Variability-aware dark silicon management in on-chip many-core systems , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] Muhammad Shafique,et al. SuperNet: Multimode interconnect architecture for manycore chips , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[18] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[19] Chita R. Das,et al. A heterogeneous multiple network-on-chip design: An application-aware approach , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[20] Muhammad Shafique,et al. Exploiting program-level masking and error propagation for constrained reliability optimization , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[21] Muhammad Shafique,et al. Variability and Reliability Awareness in the Age of Dark Silicon , 2016, IEEE Design & Test.
[22] Sankalp Jain,et al. Energy efficient scheduling for web search on heterogeneous microservers , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[23] Marco Platzner,et al. Design and architectures for dependable embedded systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).