SleepWalker: A 25-MHz 0.4-V Sub- $\hbox{mm}^{2}$ 7- $\mu\hbox{W/MHz}$ Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes

Integrated circuits for wireless sensor nodes (WSNs) targeting the Internet-of-Things (IoT) paradigm require ultralow-power consumption for energy-harvesting operation and low die area for low-cost nodes. As the IoT calls for the deployment of trillions of WSNs, minimizing the carbon footprint for WSN chip manufacturing further emerges as a third target in a design-for-the-environment (DfE) perspective. The SleepWalker microcontroller is a 65-nm ultralow-voltage SoC based on the MSP430 architecture capable of delivering increased speed performances at 25 MHz for only 7 μW/MHz at 0.4 V. Its sub-mm2 die area with low external component requirement ensures a low carbon footprint for chip manufacturing. SleepWalker incorporates an on-chip adaptive voltage scaling (AVS) system with DC/DC converter, clock generator, memories, sensor and communication interfaces, making it suited for WSN applications. An LP/GP process mix is fully exploited for minimizing the energy per cycle, with power gating to keep stand-by power at 1.7 μW. By incorporating a glitch-masking instruction cache, system power can be reduced by up to 52%. The AVS system ensures proper 25-MHz operation over process and temperature variations from -40 °C to +85 °C, with a peak efficiency of the DC/DC converter above 80%. Finally, a multi-Vt clock tree reduces variability-induced clock skew by 3 × to ensure robust timing closure down to 0.3 V.

[1]  Francky Catthoor,et al.  8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

[2]  David Bol,et al.  Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits , 2009, ISLPED.

[3]  David Bol,et al.  Pushing Adaptive Voltage Scaling Fully on Chip , 2012, J. Low Power Electron..

[4]  H. De Man,et al.  Ambient intelligence: gigascale dreams and nanoscale realities , 2005 .

[5]  Anthony Rowe,et al.  The Swarm at the Edge of the Cloud , 2015, IEEE Design & Test.

[6]  David Bol,et al.  Analysis and minimization of practical energy in 45nm subthreshold logic circuits , 2008, 2008 IEEE International Conference on Computer Design.

[7]  Sarah Boyd,et al.  Life-Cycle Assessment of Semiconductors , 2011 .

[8]  D. Flandre,et al.  Miniaturized Wireless Sensing System for Real-Time Breath Activity Recording , 2010, IEEE Sensors Journal.

[9]  A.P. Chandrakasan,et al.  A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.

[10]  Anantha Chandrakasan,et al.  Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[11]  Marcus Herzog,et al.  An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications , 2011, 2011 IEEE International Solid-State Circuits Conference.

[12]  Seok-Jun Lee,et al.  Microwatt Embedded Processor Platform for Medical System-on-Chip Applications , 2011, IEEE Journal of Solid-State Circuits.

[13]  A.P. Chandrakasan,et al.  A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.

[14]  Michael D. Seeman,et al.  Analysis and Optimization of Switched-Capacitor DC–DC Converters , 2008 .

[15]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[16]  David Bol,et al.  Interests and Limitations of Technology Scaling for Subthreshold Logic , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  David Bol,et al.  Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[18]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[19]  David Bol Robust and Energy-Efficient Ultra-Low-Voltage Circuit Design under Timing Constraints in 65/45 nm CMOS , 2011 .

[20]  Kaushik Roy,et al.  A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[21]  David Blaauw,et al.  Clock network design for ultra-low power applications , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[22]  David Ruffieux,et al.  A 1V RF SoC with an 863-to-928MHz 400kb/s radio and a 32b Dual-MAC DSP core for Wireless Sensor and Body Networks , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[23]  A.P. Chandrakasan,et al.  Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits , 2008, IEEE Transactions on Electron Devices.

[24]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[25]  Takahiro Seki,et al.  Dynamic voltage and frequency management for a low-power embedded microprocessor , 2005, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[26]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.

[27]  David Blaauw,et al.  Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[28]  Refet Firat Yazicioglu,et al.  A Configurable and Low-Power Mixed Signal SoC for Portable ECG Monitoring Applications , 2011, IEEE Transactions on Biomedical Circuits and Systems.

[29]  David Blaauw,et al.  A 660pW multi-stage temperature-compensated timer for ultra-low-power wireless sensor node synchronization , 2011, 2011 IEEE International Solid-State Circuits Conference.

[30]  David Bol,et al.  Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic , 2009, ISLPED.

[31]  David Bol,et al.  A 25MHz 7μW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodes , 2012, 2012 IEEE International Solid-State Circuits Conference.

[32]  A.P. Chandrakasan,et al.  A 10-pJ/instruction, 4-MIPS micropower DSP for sensor applications , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[33]  David Blaauw,et al.  A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting , 2012, 2012 IEEE International Solid-State Circuits Conference.

[34]  A. Wang,et al.  Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.

[35]  Christopher M. Jones,et al.  Quantifying carbon footprint reduction opportunities for U.S. households and communities. , 2011, Environmental science & technology.

[36]  H. De Man,et al.  Ambient intelligence: gigascale dreams and nanoscale realities , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[37]  A.P. Chandrakasan,et al.  Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications , 2007, 2007 IEEE Power Electronics Specialists Conference.

[38]  David Bol,et al.  Application-aware LCA of semiconductors: Life-cycle energy of microprocessors from high-performance 32nm CPU to ultra-low-power 130nm MCU , 2011, Proceedings of the 2011 IEEE International Symposium on Sustainable Systems and Technology.

[39]  M. Woo,et al.  Low cost 65nm CMOS platform for Low Power & General Purpose applications , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[40]  Bo Zhai,et al.  Exploring Variability and Performance in a Sub-200-mV Processor , 2008, IEEE Journal of Solid-State Circuits.

[41]  D. Dornfeld,et al.  Life-cycle energy demand and global warming potential of computational logic. , 2009, Environmental science & technology.

[42]  David Bol,et al.  The detrimental impact of negative Celsius temperature on ultra-low-voltage CMOS logic , 2010, 2010 Proceedings of ESSCIRC.

[43]  Mario Konijnenburg,et al.  A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4V , 2011, 2011 IEEE International Solid-State Circuits Conference.