Minimizing power supply noise through harmonic mappings in networks-on-chip

Power supply integrity has become a critical concern with the rapid shrinking of device dimensions and the ever increasing power consumption in nano-scale integration. Particularly, power supply noise is strongly correlated to the spatial distribution of activity densities and this can be attributed to the on-chip communication, which dictates the power dissipation and overall system performance in networks-on-chip. In this paper, we propose a new mapping strategy aiming to create a balanced activity distribution across the whole chip. We formulate the problem of application mapping as a minimization of the activity density by employing a repulsive force-based objective function. Metrics of regional activity density and characteristics of its impact on power supply noise are considered. The proposed method has been rigorously evaluated based on a large set of real-application benchmarks. Significant reduction in power supply noise can be achieved with negligible energy overhead. This new approach would provide a more scalable solution for future large-scale system integration.

[1]  Hannu Tenhunen,et al.  Fast modeling of core switching noise on distributed LRC power grid in ULSI circuits , 2000, IEEE 9th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.00TH8524).

[2]  Wei Zhao Predictive technology modeling for scaled CMOS , 2009 .

[3]  Avinoam Kolodny,et al.  Power grid analysis based on a macro circuit model , 2010, 2010 IEEE 26-th Convention of Electrical and Electronics Engineers in Israel.

[4]  Terrence S. T. Mak,et al.  Communication centric on-chip power grid models for networks-on-chip , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

[5]  R. Engelbrecht,et al.  DIGEST of TECHNICAL PAPERS , 1959 .

[6]  Sani R. Nassif,et al.  Power grid analysis benchmarks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[7]  Om Prakash Yadav,et al.  Energy and reliability oriented mapping for regular Networks-on-Chip , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[8]  Andrew B. Kahng,et al.  ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  E. Carvalho,et al.  Congestion-aware task mapping in heterogeneous MPSoCs , 2008, 2008 International Symposium on System-on-Chip.

[10]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[11]  M. Saint-Laurent,et al.  Impact of power-supply noise on timing in high-frequency microprocessors , 2004, IEEE Transactions on Advanced Packaging.

[12]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[13]  Resve A. Saleh,et al.  Power Supply Noise in SoCs: Metrics, Management, and Measurement , 2007, IEEE Design & Test of Computers.

[14]  Kaustav Banerjee,et al.  Scaling Analysis of On-Chip Power Grid Voltage Variations in Nanometer Scale ULSI , 2005, Analog Integrated Circuits and Signal Processing.

[15]  Andrew R. Conn,et al.  Noise considerations in circuit optimization , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[17]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Sreeram Chandrasekar,et al.  Dynamic voltage (IR) drop analysis and design closure: Issues and challenges , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[19]  Yu Wang,et al.  Power Gating Aware Task Scheduling in MPSoC , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[21]  L. Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[22]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.