Fault Tolerant Approaches to Nanoelectronic Programmable Logic Arrays

Programmable logic arrays (PLA), which can implement arbitrary logic functions in a two-level logic form, are promising as platforms for nanoelectronic logic due to their highly regular structure compatible with the nano crossbar architectures. Reliability is an important challenge as far as nanoelectronic devices are concerned. Consequently, it is necessary to focus on the fault tolerance aspects of nanoelectronic PLAs to ensure their viability as a foundation for nanoelectronic systems. In this paper, we investigate two types of fault tolerance techniques for nanoelectronic device based PLAs, focusing at the online faults occurring at the cross-points of nano devices. We develop a scheme to precisely locate the faults online, as this is a crucial step for efficient online reconfiguration based fault tolerance schemes. We also propose a tautology based fault masking scheme. We demonstrate that these two types of fault tolerance schemes developed for nano PLAs significantly improve at low hardware cost the reliability of the high fault occurrence nanoelectronic environment.

[1]  Yu. G. Krieger Molecular electronics: Current state and future trends , 1993 .

[2]  Jacob A. Abraham,et al.  Test Generation for Programmable Logic Arrays , 1982, 19th Design Automation Conference.

[3]  D. Strukov,et al.  CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices , 2005 .

[4]  Konstantin Nikolic,et al.  A short review of nanoelectronic architectures , 2004 .

[5]  E.J. McCluskey,et al.  Concurrent error detection and testing for large PLA's , 1982, IEEE Transactions on Electron Devices.

[6]  S. Wind,et al.  Carbon nanotube electronics , 2002, Digest. International Electron Devices Meeting,.

[7]  P. K. Lala,et al.  On fault-tolerant PLA design , 1990, IEEE Proceedings on Southeastcon.

[8]  M. Forshaw,et al.  Architectures for reliable computing with unreliable nanodevices , 2001, Proceedings of the 2001 1st IEEE Conference on Nanotechnology. IEEE-NANO 2001 (Cat. No.01EX516).

[9]  P. K. Lala Self-Checking and Fault-Tolerant Digital Design , 1995 .

[10]  André DeHon,et al.  Array-Based Architecture for FET-Based, , 2003 .

[11]  D. Stewart,et al.  The crossbar latch: Logic value storage, restoration, and inversion in crossbar circuits , 2005 .

[12]  Michael J. Wilson,et al.  Nanowire-based sublithographic programmable logic arrays , 2004, FPGA '04.

[13]  Vinod K. Agarwal Multiple Fault Detection in Programmable Logic Arrays , 1980, IEEE Transactions on Computers.

[14]  Ramesh Karri,et al.  Topology aware mapping of logic functions onto nanowire-based crossbar architectures , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[15]  Pinaki Mazumder,et al.  Digital circuit applications of resonant tunneling devices , 1998, Proc. IEEE.

[16]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[17]  Chin-Long Wey,et al.  Design of fault diagnosable and repairable PLA's , 1989 .

[18]  Jacob A. Abraham,et al.  Concurrent error detection in highly structured logic arrays , 1984 .

[19]  S. Gai,et al.  Fault detection in programmable logic arrays , 1986, Proceedings of the IEEE.

[20]  M. Kastner,et al.  The single-electron transistor , 1992 .

[21]  Charles M. Lieber,et al.  Logic Gates and Computation from Assembled Nanowire Building Blocks , 2001, Science.

[22]  Chin-Long Wey,et al.  Design of fault-diagnosable and repairable folded PLAs for yield enhancement , 1991 .

[23]  MICHAEL DEMJANENKO,et al.  Yield enhancement of field programmable logic arrays by inherent component redundancy , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[24]  W. Kent Fuchs,et al.  Fault Diagnosis and Spare Allocation for Yield Enhancement in Large Reconfigurable PLA's , 1992, IEEE Trans. Computers.

[25]  J. F. Stoddart,et al.  Nanoscale molecular-switch crossbar circuits , 2003 .

[26]  Charles E. Stroud,et al.  Dynamic fault tolerance in FPGAs via partial reconfiguration , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[27]  R. Stanley Williams,et al.  CMOS-like logic in defective, nanoscale crossbars , 2004 .

[28]  Wolfgang Porod,et al.  Quantum cellular automata , 1994 .

[29]  André DeHon,et al.  Seven strategies for tolerating highly defective fabrication , 2005, IEEE Design & Test of Computers.

[30]  Paul Beckett,et al.  Towards nanocomputer architecture , 2002 .

[31]  G.S. Snider,et al.  Crossbar demultiplexers for nanoelectronics based on n-hot codes , 2005, IEEE Transactions on Nanotechnology.

[32]  André DeHon,et al.  Array-based architecture for FET-based, nanoscale electronics , 2003 .

[33]  C.-L. Way Fault location in repairable programmable logic arrays , 1989, Proceedings. 'Meeting the Tests of Time'., International Test Conference.