Power-Utility-Driven Write Management for MLC PCM
暂无分享,去创建一个
Yu Hu | Xiaowei Li | Jing Ye | Bing Li | Ying Wang | Jing Ye | Xiaowei Li | Ying Wang | Yu Hu | Bing Li
[1] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[2] Guido Torelli,et al. A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage , 2009, IEEE Journal of Solid-State Circuits.
[3] W. C. Chien,et al. A novel self-converging write scheme for 2-bits/cell phase change memory for Storage Class Memory (SCM) application , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[4] Soontae Kim,et al. Skinflint DRAM system: Minimizing DRAM chip writes for low power , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[5] Moinuddin K. Qureshi,et al. Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[6] Christoforos E. Kozyrakis,et al. Towards energy-proportional datacenter memory with mobile DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[7] H.-S. Philip Wong,et al. Phase Change Memory , 2010, Proceedings of the IEEE.
[8] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[9] Y.C. Chen,et al. Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory , 2007, 2007 IEEE International Electron Devices Meeting.
[10] Ren-Shuo Liu,et al. NVM duet: unified working memory and persistent store architecture , 2014, ASPLOS.
[11] Shunfei Chen,et al. MARSS: A full system simulator for multicore x86 CPUs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[12] Jun Yang,et al. FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[13] Richard E. Korf,et al. A new algorithm for optimal bin packing , 2002, AAAI/IAAI.
[14] Hyunjin Lee,et al. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[16] Bruce Jacob,et al. DRAMSim2: A Cycle Accurate Memory System Simulator , 2011, IEEE Computer Architecture Letters.
[17] Steven M. Nowick,et al. ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.
[18] Jun Yang,et al. Fine-grained QoS scheduling for PCM-based main memory systems , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).
[19] Daniel Krebs,et al. Crystal growth within a phase change memory cell , 2014, Nature Communications.
[20] GABRIEL H. LOH,et al. 3D Stacked Microprocessor: Are We There Yet? , 2010, IEEE Micro.
[21] Kumar Virwani,et al. Voltage polarity effects in Ge2Sb2Te5-based phase change memory devices , 2011 .
[22] Jichuan Chang,et al. BOOM: Enabling mobile memory based low-power server DIMMs , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[23] A. Sebastian,et al. Reliable MLC data storage and retention in phase-change memory after endurance cycling , 2013, 2013 5th IEEE International Memory Workshop.
[24] Shih-Hung Chen,et al. Phase-change random access memory: A scalable technology , 2008, IBM J. Res. Dev..
[25] Tao Li,et al. Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[26] Tong Zhang,et al. Design Techniques to Facilitate Processor Power Delivery in 3-D Processor-DRAM Integrated Systems , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[27] Haralampos Pozidis,et al. Programming algorithms for multilevel phase-change memory , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[28] Luis A. Lastras,et al. PreSET: Improving performance of phase change memories by exploiting asymmetry in write times , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[29] Yici Cai,et al. Thermal-aware P/G TSV planning for IR drop reduction in 3D ICs , 2013, Integr..
[30] Tao Li,et al. Informed Microarchitecture Design Space Exploration Using Workload Dynamics , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[31] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.
[32] Jun Yang,et al. A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.
[33] Haralampos Pozidis,et al. Multilevel phase-change memory , 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.
[34] Moinuddin K. Qureshi,et al. Morphable memory system: a robust architecture for exploiting multi-level phase change memories , 2010, ISCA.
[35] M. Breitwisch. Phase Change Memory , 2008, 2008 International Interconnect Technology Conference.
[36] Onur Mutlu,et al. Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems , 2008, 2008 International Symposium on Computer Architecture.
[37] Jun Yang,et al. Improving write operations in MLC phase change memory , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[38] Kailash Gopalakrishnan,et al. The inner workings of phase change memory: Lessons from prototype PCM devices , 2010, 2010 IEEE Globecom Workshops.
[39] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[40] Fabien Clermidy,et al. A Novel Programming Technique to Boost Low-Resistance State Performance in Ge-Rich GST Phase Change Memory , 2014, IEEE Transactions on Electron Devices.
[41] Karin Strauss,et al. Preventing PCM banks from seizing too much power , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[42] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[43] Onur Mutlu,et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[44] Rajeev Balasubramonian,et al. Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[45] G. Burr,et al. Voltage polarity effects in GST-based phase change memory: Physical origins and implications , 2010, 2010 International Electron Devices Meeting.