Network-on-Chip design and synthesis outlook
暂无分享,去创建一个
Luca Benini | Srinivasan Murali | Federico Angiolini | Giovanni De Micheli | David Atienza | Antonio Pullini | L. Benini | G. Micheli | David Atienza Alonso | Antonio Pullini | S. Murali | F. Angiolini | A. Pullini
[1] Lieven Eeckhout,et al. Designing Computer Architecture Research Workloads , 2003, Computer.
[2] Igor L. Markov,et al. Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[3] Marcello Coppola,et al. Application-Specific Topology Design Customization for STNoC , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).
[4] Jari Nurmi,et al. VHDL-based simulation environment for Proteo NoC , 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..
[5] Luca Benini,et al. MPARM: Exploring the Multi-Processor SoC Design Space with SystemC , 2005, J. VLSI Signal Process..
[6] Wayne H. Wolf,et al. The future of multiprocessor systems-on-chips , 2004, Proceedings. 41st Design Automation Conference, 2004..
[7] Federico Angiolini,et al. Area and Power Modeling Methodologies for Networks-on-Chip , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[8] Luca Benini,et al. Bringing NoCs to 65 nm , 2007, IEEE Micro.
[9] Luca Benini,et al. Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[10] L. Benini,et al. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[11] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[12] Timothy Mark Pinkston,et al. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[13] Radu Marculescu,et al. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.
[14] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[15] Krishnan Srinivasan,et al. An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[16] Srinivasan Murali,et al. SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..
[17] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[18] Hoi-Jun Yoo,et al. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[19] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[20] Paolo Ienne,et al. Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[21] Srinivasan Murali,et al. Bringing NoCs to 65nm , 2007 .
[22] Sang H. Dhong,et al. Power-conscious design of the Cell processor's synergistic processor element , 2005, IEEE Micro.
[23] Chita R. Das,et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.
[24] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[25] Dake Liu,et al. SoCBUS: switched network on chip for hard real time embedded systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[26] Axel Jantsch,et al. Networks on chip , 2003 .
[27] Jens Sparsø,et al. Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[28] Mahmut T. Kandemir,et al. Leakage Current: Moore's Law Meets Static Power , 2003, Computer.
[29] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[30] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[31] Luca Benini,et al. A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[32] Luca Benini,et al. Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.
[33] Tapani Ahonen,et al. Topology optimization for application-specific networks-on-chip , 2004, SLIP '04.
[34] A. Greiner,et al. Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture , 2007 .
[35] Altamiro Amadeu Susin,et al. SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[36] Kiyoung Choi,et al. Proceedings of the 4th international conference on Hardware/software codesign and system synthesis , 2006 .
[37] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[40] Marcello Coppola,et al. Application-Specific Topology Design Customization for STNoC , 2007 .
[41] Krisztián Flautner,et al. PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor , 2006, ASPLOS XII.
[42] Rudy Lauwereins,et al. Networks on Chip as Hardware Components of an OS for Reconfigurable Systems , 2003, FPL.
[43] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[44] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[45] Radu Marculescu,et al. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.
[46] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[47] Kees G. W. Goossens,et al. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[48] Jörg Henkel,et al. Bounded arbitration algorithm for QoS-supported on-chip communication , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[49] Alain Greiner,et al. Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[50] Narayanan Vijaykrishnan,et al. Hotspot prevention through runtime reconfiguration in network-on-chip , 2005, Design, Automation and Test in Europe.
[51] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[52] Mateo Valero,et al. Multiple-banked register file architectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).