Sub-threshold circuit design with shrinking CMOS devices

This paper examines the impact of technology scaling to 22nm on sub-threshold circuit design and proposes several solutions for sub-threshold circuits in new processes. To maintain energy-efficient sub-threshold operation, we must reduce variation and suppress leakage current. To combat random variation and minimize energy for nodes below 45nm, we show that special strategies are needed for different categories of sub-threshold circuits.

[1]  M. Liang,et al.  A 90-nm CMOS device technology with high-speed, general-purpose, and low-leakage transistors for system on chip applications , 2002, Digest. International Electron Devices Meeting,.

[2]  Andrew R. Brown,et al.  Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .

[3]  M. Weybright,et al.  High performance and low power transistors integrated in 65nm bulk CMOS technology , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[4]  S. Parihar,et al.  Impact of pocket implant on MOSFET mismatch for advanced CMOS technology , 2004, Proceedings of the 2004 International Conference on Microelectronic Test Structures (IEEE Cat. No.04CH37516).

[5]  A. Wang,et al.  Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.

[6]  Gu-Yeon Wei,et al.  An Ultra Low Power System Architecture for Sensor Network Applications , 2005, ISCA 2005.

[7]  Gu-Yeon Wei,et al.  An ultra low power system architecture for sensor network applications , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[8]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[9]  A.P. Chandrakasan,et al.  Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.

[10]  David Blaauw,et al.  Ultralow-voltage, minimum-energy CMOS , 2006, IBM J. Res. Dev..

[11]  Anantha Chandrakasan,et al.  Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[12]  David Blaauw,et al.  A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[13]  A.P. Chandrakasan,et al.  A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.

[14]  Jiajing Wang,et al.  Analyzing and modeling process balance for sub-threshold circuit design , 2007, GLSVLSI '07.

[15]  Naveen Verma,et al.  A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[16]  Shien-Yang Wu,et al.  A 32nm CMOS Low Power SoC Platform Technology for Foundry Applications with Functional High Density SRAM , 2007, 2007 IEEE International Electron Devices Meeting.

[17]  Jiajing Wang,et al.  Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[18]  Jason Liu,et al.  A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[19]  Benton H. Calhoun,et al.  Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[20]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[21]  Travis N. Blalock,et al.  Sub-threshold operation and cross-hierarchy design for ultra low power wearable sensors , 2009, 2009 IEEE International Symposium on Circuits and Systems.