Design considerations for 60 GHz CMOS radios

With the availability of 7 GHz of unlicensed spectrum around 60 GHz, there is a growing interest in using this resource for new consumer applications requiring very high-data-rate wireless transmission. Historically, the cost of the 60 GHz electronics, implemented in the compound semiconductor technology, has been prohibitively expensive. A fully integrated CMOS solution has the potential to drastically reduce costs enough to hit consumer price points. System, circuit, and device-level barriers to a low-cost 60 GHz CMOS implementation are described, potential solutions are explored, and remaining challenges are discussed.

[1]  Michael B. Steer,et al.  Foundations of Interconnect and Microstrip Design , 2000 .

[2]  R.W. Brodersen,et al.  Large-signal millimeter-wave CMOS modeling with BSIM3 , 2004, 2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers.

[3]  Hong-Yeh Chang,et al.  A 63 GHz VCO using a standard 0.25 /spl mu/m CMOS process , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[4]  Ali Hajimiri,et al.  Fully integrated CMOS power amplifier design using the distributed active-transformer architecture , 2002, IEEE J. Solid State Circuits.

[5]  B.A. Bloechel,et al.  64 GHz and 100 GHz VCOs in 90 nm CMOS using optimum pumping method , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[6]  Robert A. York,et al.  Some considerations for optimal efficiency and low noise in large power combiners , 2001 .

[7]  R.W. Brodersen,et al.  Millimeter-wave CMOS design , 2005, IEEE Journal of Solid-State Circuits.

[8]  G. E. Athanasiadou,et al.  Investigating the effects of antenna directivity on wireless indoor communication at 60 GHz , 1997, Proceedings of 8th International Symposium on Personal, Indoor and Mobile Radio Communications - PIMRC '97.

[9]  Christian Enz,et al.  An MOS transistor model for RF IC design valid in all regions of operation , 2002 .

[10]  B. Floyd,et al.  60GHz transceiver circuits in SiGe bipolar technology , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[11]  E. Suematsu,et al.  60GHz ultra compact transmitter/receiver with a low phase noise PLL-oscillator , 2003, IEEE MTT-S International Microwave Symposium Digest, 2003.

[12]  M. B. Steer,et al.  Foundations of Interconnect and Microstrip Design: Edwards/Foundations of Interconnect and Microstrip Design , 2000 .

[13]  R.W. Brodersen,et al.  Design of CMOS for 60GHz applications , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[14]  Vladimir Stojanovic,et al.  Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4-PAM backplane transceiver cell , 2003 .