Understanding, modeling, and detecting pooling hotspots in copper CMP

Multi-step Chemical Mechanical Polishing (CMP) has been used in copper interconnect fabrication for more than a decade. During this time, advances in both the CMP-based damascene manufacturing processes and in the design flows, have enabled significant uniformity improvements for both metal thickness and surface topography, producing corresponding improvements in parametric and functional yields and enabling smaller process nodes. However, improving post CMP planarity and widening CMP process windows have lead to an increased risk of functional yield failures due to copper pooling (sometimes called puddling). These failures occur when the overburden copper and/or barrier material is not cleared during CMP, producing an electrical short between two neighboring lines. We first sought to understand the source of this failure mode, based on recently reported research and data trends seen in state of the art copper CMP manufacturing processes. Once copper pooling mechanisms were identified, CMP models were enhanced to more accurately predict pooling hotspot locations. These models can be used to improve CMP process optimization and/or Design for Manufacturing (DFM) based flows that detect and remove pooling hotspots.

[1]  Duane S. Boning,et al.  Arrhenius Characterization of ILD and Copper CMP Processes , 2004 .

[2]  Ying-Lang Wang,et al.  Study of Non-Preston Phenomena Induced from the Passivated Additives in Copper CMP , 2007 .

[3]  Hongmei Liao,et al.  Integration of CMP Modeling in RC Extraction and Timing Flow , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[4]  Duane S. Boning,et al.  Characterization and Modeling of Dynamic Thermal Behavior in CMP , 2003 .

[5]  Jian Zhang,et al.  Study of Inhibition effects on Copper CMP Slurry Performance , 2011 .

[6]  David A. White,et al.  Multivariable analysis of spectral measurements for the characterization of semiconductor processes , 2001 .

[7]  Li Song,et al.  Hotspot Prevention Using CMP Model in Design Implementation Flow , 2008, ISQED 2008.

[8]  Minsik Cho,et al.  Wire Density Driven Global Routing for CMP Variation and Timing , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[9]  Laertis Economikos,et al.  Using CMP Model for 45nm/32nm BEOL Process and Design Evaluations , 2010 .

[10]  Xiang Hua,et al.  Hotspot detection and design recommendation using silicon calibrated CMP model , 2009, Advanced Lithography.

[11]  Tamba Gbondo-Tugbawa,et al.  Chip-scale modeling of pattern dependencies in copper chemical mechanical polishing processes , 2002 .

[12]  Linda Zhuang,et al.  Accurately predicting copper interconnect topographies in foundry design for manufacturability flows , 2011, Advanced Lithography.

[13]  Takashi Sakairi,et al.  Using a highly accurate self-stop Cu-CMP model in the design flow , 2010, Advanced Lithography.

[14]  W. Xin,et al.  Development of copper CMP slurry , 2001, 2001 6th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.01EX443).

[15]  P ? ? ? ? ? ? ? % ? ? ? ? , 1991 .