Optimizing noise for defect analysis with through-focus scanning optical microscopy

Through-focus scanning optical microscopy (TSOM) shows promise for patterned defect analysis, but it is important to minimize total system noise. TSOM is a three-dimensional shape metrology method that can achieve sub-nanometer measurement sensitivity by analyzing sets of images acquired through-focus using a conventional optical microscope. Here we present a systematic noise-analysis study for optimizing data collection and data processing parameters for TSOM and then demonstrate how the optimized parameters affect defect analysis. We show that the best balance between signalto- noise performance and acquisition time can be achieved by judicious spatial averaging. Correct background-signal subtraction of the imaging-system inhomogeneities is also critical, as well as careful alignment of the constituent images used in differential TSOM analysis.

[1]  Alok Vaid,et al.  Hybrid metrology universal engine: co-optimization , 2014, Advanced Lithography.

[2]  Gaoliang Dai,et al.  New developments at Physikalisch Technische Bundesanstalt in three-dimensional atomic force microscopy with tapping and torsion atomic force microscopy mode and vector approach probing strategy , 2012 .

[3]  Benjamin Bunday,et al.  Patterned Defect & CD Metrology by TSOM Beyond the 22 nm Node | NIST , 2012 .

[4]  Hui Zhou,et al.  Optimizing hybrid metrology: rigorous implementation of Bayesian and combined regression , 2015, Journal of micro/nanolithography, MEMS, and MOEMS : JM3.

[5]  S. Usha,et al.  Through Focus Optical Imaging Technique To Analyze Variations In Nano-Scale Indents , 2013 .

[6]  Masafumi Asano,et al.  Hybrid approach to optical CD metrology of directed self-assembly lithography , 2013, Advanced Lithography.

[7]  Alok Vaid,et al.  Hybrid metrology: from the lab into the fab , 2014 .

[8]  Garry Berkovic,et al.  Optical methods for distance and displacement measurements , 2012 .

[9]  Jie Li,et al.  Evaluating scatterometry 3D capabilities for EUV , 2013, Advanced Lithography.

[10]  Reinder Plug,et al.  Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET) , 2014, Advanced Lithography.

[11]  Benjamin Bunday,et al.  Patterned defect and CD metrology by TSOM beyond the 22-nm node , 2012, Advanced Lithography.

[12]  R. M. Silver,et al.  Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model , 2014, Advanced Lithography.

[13]  Benjamin Bunday,et al.  Use of TSOM for sub-11nm node pattern defect detection and HAR features , 2013, Advanced Lithography.

[14]  Scott Halle,et al.  Hybrid OPC modeling with SEM contour technique for 10nm node process , 2014, Advanced Lithography.

[15]  Richard M. Silver,et al.  Nanometrology using a through-focus scanning optical microscopy method , 2011 .

[16]  Ndubuisi G. Orji,et al.  Scanning probe microscope dimensional metrology at NIST , 2011 .

[17]  Hui Zhou,et al.  Fourier domain optical tool normalization for quantitative parametric image reconstruction. , 2013, Applied optics.

[18]  Richard M. Silver,et al.  Optical illumination and critical dimension analysis using the through-focus focus metric method , 2006, SPIE Optics + Photonics.

[19]  Timothy F. Crimmins Wafer noise models for defect inspection , 2011, Advanced Lithography.

[20]  Gökhan Perçin,et al.  Accurate optical CD profiler based on specialized finite element method , 2012, Advanced Lithography.

[21]  Nelson Felix,et al.  Scatterometry-based defect detection for DSA in-line process control , 2015, Advanced Lithography.

[22]  Wen-Li Wu,et al.  Small angle x-ray scattering measurements of lithographic patterns with sidewall roughness from vertical standing waves , 2007 .

[23]  Alok Vaid,et al.  Improved scatterometry time-to-solution using virtual reference , 2015, Advanced Lithography.

[24]  Benjamin Bunday,et al.  Critical dimension metrology by through-focus scanning optical microscopy beyond the 22 nm node , 2013 .

[25]  Sergey Koptyaev,et al.  Through-focus scanning optical microscopy (TSOM) considering optical aberrations: practical implementation. , 2015, Optics express.

[26]  Yi-Sha Ku Spectral reflectometry for metrology of three-dimensional through-silicon vias , 2014 .

[27]  Sergey Koptyaev,et al.  Motion-free all optical inspection system for nanoscale topology control. , 2014, Optics express.

[28]  M. Schmied,et al.  Comparison of 3D Surface Reconstruction Data from Certified Depth Standards Obtained by SEM and an Infinite Focus Measurement Machine (IFM) , 2006 .

[29]  Ndubuisi G. Orji,et al.  Strategies for nanoscale contour metrology using critical dimension atomic force microscopy , 2011, NanoScience + Engineering.

[30]  Vibhu Jindal,et al.  Inspecting mask defects with through-focus scanning optical microscopy , 2013 .

[31]  Qiang Zhao,et al.  Nanoscale optical critical dimension measurement of a contact hole using deep ultraviolet spectroscopic ellipsometry , 2013 .

[32]  Takeyoshi Ohashi,et al.  Three-dimensional profile extraction from CD-SEM image and top/bottom CD measurement by line-edge roughness analysis , 2013, Advanced Lithography.

[33]  Egon Marx,et al.  New method to enhance overlay tool performance , 2003, SPIE Advanced Lithography.

[34]  Christopher J. Raymond,et al.  Photoluminescence metrology for LED characterization in high volume manufacturing , 2013, Advanced Lithography.

[35]  Peter Ebersbach,et al.  Holistic metrology approach: hybrid metrology utilizing scatterometry, critical dimension-atomic force microscope and critical dimension-scanning electron microscope , 2011 .

[36]  R. Leach,et al.  The European nanometrology landscape , 2011, Nanotechnology.

[37]  Ravikiran Attota Noise analysis for through-focus scanning optical microscopy. , 2016, Optics letters.

[38]  Richard Kasica,et al.  Nanoparticle size determination using optical microscopes , 2014 .

[39]  Todd C. Bailey,et al.  Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs , 2014 .

[40]  Svenja Ettl,et al.  Limitations of Optical 3D Sensors , 2011 .

[41]  Ronald G. Dixson,et al.  Resolving three-dimensional shape of sub-50 nm wide lines with nanometer-scale sensitivity using conventional optical microscopes , 2014 .

[42]  John C. Robinson,et al.  HVM capabilities of CPE run-to-run overlay control , 2015, Advanced Lithography.

[43]  Hui Zhou,et al.  Effects of wafer noise on the detection of 20-nm defects using optical volumetric inspection , 2015 .

[44]  Erik Novak,et al.  TSOM method for semiconductor metrology , 2011, Advanced Lithography.

[45]  András E. Vladár,et al.  Can we get 3D-CD metrology right? , 2012, Advanced Lithography.

[46]  Andrew L. Balk,et al.  Quantitative magnetometry of ferromagnetic nanorods by microfluidic analytical magnetophoresis , 2015 .

[47]  S Y Oh,et al.  Method for optical inspection of nanoscale objects based upon analysis of their defocused images and features of its practical implementation. , 2013, Optics express.

[48]  Aaron Cordes,et al.  Gaps analysis for CD metrology beyond the 22nm node , 2013, Advanced Lithography.

[49]  Alok Vaid,et al.  Addressing FinFET metrology challenges in 1× node using tilt-beam critical dimension scanning electron microscope , 2014 .

[50]  Wen-li Wu,et al.  Three-dimensional x-ray metrology for block copolymer lithography line-space patterns , 2013 .

[51]  Michael T. Postek,et al.  10 nm Three-Dimensional CD-SEM Metrology | NIST , 2014 .

[52]  Byoung-Ho Lee,et al.  Optical analysis on the wafer defect inspection for yield enhancement , 2013, Advanced Lithography.

[53]  Dean Dawson,et al.  Improving sidewall profile metrology with enhanced 3D-AFM , 2008, Lithography Asia.

[54]  Kuen-Yu Tsai,et al.  Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method , 2010 .

[55]  Ludger Koenders,et al.  EDITORIAL: Nanoscale metrology Nanoscale metrology , 2009 .

[56]  Frank E. Gennari,et al.  A pattern-driven design regularization methodology , 2014, Advanced Lithography.

[57]  Alok Vaid,et al.  Solving next generation (1x node) metrology challenges using advanced CDSEM capabilities: tilt, high energy and backscatter imaging , 2015, Advanced Lithography.

[58]  Alexander Starikov,et al.  Special Section Guest Editorial: Control of IC Patterning Variance Part 1: Metrology, Process Monitoring, and Control of Critical Dimension , 2015 .

[59]  Ravikiran Attota,et al.  Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis. , 2008, Optics letters.

[60]  Maxim Ryabko,et al.  Improved critical dimension inspection for the semiconductor industry , 2014 .