A Fuzzy Logic Reconfiguration Engine for Symmetric Chip Multiprocessors

Recent developments in reconfigurable multiprocessor system on chip (MPSoC) have offered system designers a great amount of flexibility to exploit task concurrency with higher throughput and less energy consumption. This paper presents a novel fuzzy logic reconfiguration engine (FLRE) for coarse grain MPSoC reconfiguration that facilitates to identify an optimum balance between power and performance of the system. The FLRE is composed on two levels of abstraction layers. The system selects an optimal configuration of Level 1 / Level 2 cache size and Associativity, processor operating frequency and voltage, the number of cores based on miss rate, and energy and throughput information of the system both at core and SoC level. An 8-core symmetric chip multiprocessor has been used to evaluate the proposed scheme. The results show an overall decrease of energy consumption with not more than 30% decrease in the throughput.

[1]  Scott Hauck,et al.  Reconfigurable computing: a survey of systems and software , 2002, CSUR.

[2]  IEEE Design & Test of Computers , 1996, IEEE Design & Test of Computers.

[3]  Sharad Malik,et al.  A power model for routers: modeling Alpha 21364 and InfiniBand routers , 2002, Proceedings 10th Symposium on High Performance Interconnects.

[4]  Dean M. Tullsen,et al.  Exploiting unbalanced thread scheduling for energy and performance on a CMP of SMT processors , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

[5]  Landon P. Cox,et al.  The Impact of Dynamically Heterogeneous Multicore Processors on Thread Scheduling , 2008, IEEE Micro.

[6]  Niraj K. Jha,et al.  GARNET: A detailed on-chip network model inside a full-system simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[7]  Keqin Li,et al.  Performance Analysis of Power-Aware Task Scheduling Algorithms on Multiprocessor Computers with Dynamic Voltage and Speed , 2008, IEEE Transactions on Parallel and Distributed Systems.

[8]  Pham Van Ky,et al.  Malaria in central Vietnam: analysis of risk factors by multivariate analysis and classification tree models , 2008, Malaria Journal.

[9]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, MICRO.

[10]  Jie Chen,et al.  Analysis and approximation of optimal co-scheduling on Chip Multiprocessors , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[11]  Antonio González,et al.  A dynamically reconfigurable cache for multithreaded processors , 2006, J. Embed. Comput..

[12]  Li-Shiuan Peh,et al.  Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.

[13]  P. Saha,et al.  Extending Embedded Computing Scheduling Algorithms for Reconfigurable Computing Systems , 2007, 2007 3rd Southern Conference on Programmable Logic.

[14]  Jung Ho Ahn,et al.  Multicore DIMM: an Energy Efficient Memory Module with Independently Controlled DRAMs , 2009, IEEE Computer Architecture Letters.

[15]  Chita R. Das,et al.  Exploring Fault-Tolerant Network-on-Chip Architectures , 2006, International Conference on Dependable Systems and Networks (DSN'06).

[16]  Marco Platzner,et al.  Executing Hardware Tasks on Dynamically Reconfigurable Devices Under Real-Time Conditions , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[17]  Chita R. Das,et al.  A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[18]  Diederik Verkest,et al.  A reconfigurable manager for dynamically reconfigurable hardware , 2005, IEEE Design & Test of Computers.

[19]  Visakan Kadirkamanathan Fuzzy Logic and Control: Software and Hardware Applications. Mohammad Jamshidi, Nader Vadiee and Timothy J. Ross (eds.) , 2004, Artificial Intelligence Review.

[20]  Fredrik Larsson,et al.  Simics: A Full System Simulation Platform , 2002, Computer.

[21]  Heiko Kalte,et al.  REPLICA2Pro: task relocation by bitstream manipulation in virtex-II/Pro FPGAs , 2006, CF '06.

[22]  Nick Knupffer Intel Corporation , 2018, The Grants Register 2019.

[23]  F. Catthoor,et al.  Mapping the MPEG-4 visual texture decoder: a system-level design technique based on heterogeneous platforms , 2005, IEEE Signal Processing Magazine.

[24]  PROCEssIng magazInE IEEE Signal Processing Magazine , 2004 .

[25]  A. Macrae Book Review: International Journal of Man-Machine Studies , 1970 .

[26]  Tong Li,et al.  Efficient operating system scheduling for performance-asymmetric multi-core architectures , 2007, Proceedings of the 2007 ACM/IEEE Conference on Supercomputing (SC '07).

[27]  Tarek A. El-Ghazawi,et al.  Software/Hardware Co-Scheduling for Reconfigurable Computing Systems , 2007, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007).

[28]  Marco Platzner,et al.  A Heuristic Approach to Schedule Periodic Real-Time Tasks on Reconfigurable Hardware , 2005, FPL.

[29]  Rudy Lauwereins,et al.  Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs , 2002, FPL.

[30]  Ebrahim H. Mamdani,et al.  An Experiment in Linguistic Synthesis with a Fuzzy Logic Controller , 1999, Int. J. Hum. Comput. Stud..

[31]  R. V. D. Wijngaart NAS Parallel Benchmarks Version 2.4 , 2022 .

[32]  The Math Works, Inc. The Math Works Inc , 1991, International Conference on Advances in System Simulation.

[33]  Marco Platzner,et al.  An EDF schedulability test for periodic tasks on reconfigurable hardware devices , 2006, LCTES '06.

[34]  Wayne Luk,et al.  Enhancing Relocatability of Partial Bitstreams for Run-Time Reconfiguration , 2007 .

[35]  Rudy Lauwereins,et al.  Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs , 2001, IEEE Des. Test Comput..

[36]  Sally A. McKee,et al.  Proceedings of the 3rd conference on Computing frontiers , 2006 .

[37]  Rudy Lauwereins,et al.  Task concurrency management experiment for power-efficient speed-up of embedded MPEG4 IM1 player , 2000, Proceedings 2000. International Workshop on Parallel Processing.

[38]  Thorsten von Eicken,et al.  技術解説 IEEE Computer , 1999 .

[39]  Norman P. Jouppi,et al.  WRL Research Report 93/5: An Enhanced Access and Cycle Time Model for On-chip Caches , 1994 .