Cache lifetime enhancement technique using hybrid cache-replacement-policy

Abstract Driven by the trends of emerging technologies in memories, a non-volatile memory (NVM) has been considered as an alternate technology to replace SRAM in an on-chip cache. Spin-transfer torque random access memory (STT-RAM), a new type of NVM technology has low leakage power and huge density of cells. Besides having advantages, the emerging NVM technologies have limited writes and huge error rates. The write endurance and error rates are influenced by the cache replacement algorithm, which ultimately dictates the lifetime of a cache. Hence, it becomes necessary to develop or modify the cache replacement algorithms for improving the lifetime of the STT-RAM caches. The proposed Hybrid-Cache-Replacement (HCR) policy reduces the impact of the replacement algorithm in such a way that its write endurance improves with reduction in error rate. The incoming data are appropriately placed in the existing block, which have minimum error rates and less number of writes. It has been implemented by comparing the incoming bits with the existing bits in a cache set. The simulation results show that the lifespan of the STT-RAM caches improves by 135%, 165% and 27% along with 2%, 2% and 1% performance overhead when compared to the existing methods.

[1]  Houman Homayoun,et al.  Reliability analysis of spin transfer torque based look up tables under process variations and NBTI aging , 2016, Microelectron. Reliab..

[2]  Sachin S. Sapatnekar,et al.  Improving STT-MRAM density through multibit error correction , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  Dong Li,et al.  WriteSmoothing: improving lifetime of non-volatile caches using intra-set wear-leveling , 2014, GLSVLSI '14.

[4]  Valentin Puente,et al.  AC-WAR: Architecting the Cache Hierarchy to Improve the Lifetime of a Non-Volatile Endurance-Limited Main Memory , 2016, IEEE Transactions on Parallel and Distributed Systems.

[5]  Ahmad Patooghy,et al.  Coding Last Level STT-RAM Cache for High Endurance and Low Power , 2014, IEEE Computer Architecture Letters.

[6]  Ing-Chao Lin,et al.  High-Endurance Hybrid Cache Design in CMP Architecture With Cache Partitioning and Access-Aware Policies , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Seyed Ghassem Miremadi,et al.  TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches , 2019, IEEE Transactions on Computers.

[8]  Seyed Ghassem Miremadi,et al.  Floating-ECC: Dynamic Repositioning of Error Correcting Code Bits for Extending the Lifetime of STT-RAM Caches , 2016, IEEE Transactions on Computers.

[9]  Yuan Xie,et al.  i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[10]  Seyed Ghassem Miremadi,et al.  LATED: Lifetime-Aware Tag for Enduring Design , 2015, 2015 11th European Dependable Computing Conference (EDCC).

[11]  Seyed Ghassem Miremadi,et al.  LER: Least-Error-Rate Replacement Algorithm for Emerging STT-RAM Caches , 2016, IEEE Transactions on Device and Materials Reliability.

[12]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[13]  Jeffrey S. Vetter,et al.  EqualChance: Addressing Intra-set Write Variation to Increase Lifetime of Non-volatile Caches , 2014, INFLOW.

[14]  Improving STT MRAM storage density through smaller-than-worst-case transistor sizing , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[15]  Jaeyoung Park,et al.  Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[16]  D. Dimitrov,et al.  Thermal fluctuation effects on spin torque induced switching: Mean and variations , 2008 .

[17]  Dong Li,et al.  A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches , 2015, IEEE Transactions on Parallel and Distributed Systems.

[18]  Yaojun Zhang,et al.  STT-RAM CELL DESIGN CONSIDERING MTJ ASYMMETRIC SWITCHING , 2012 .

[19]  Jooheung Lee,et al.  Write-Amount-Aware Management Policies for STT-RAM Caches , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Z. Diao,et al.  Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access memory , 2007 .

[21]  An Chen,et al.  A review of emerging non-volatile memory (NVM) technologies and applications , 2016 .

[22]  Jacques-Olivier Klein,et al.  Failure and reliability analysis of STT-MRAM , 2012, Microelectron. Reliab..

[23]  Jun Yang,et al.  Energy reduction for STT-RAM using early write termination , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[24]  Abdoulaye Gamatié,et al.  Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[25]  Yiming Huai,et al.  Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects , 2008 .

[26]  Yiran Chen,et al.  CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[27]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[28]  Youguang Zhang,et al.  Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[29]  L. Register,et al.  Write Error Rate of Spin-Transfer-Torque Random Access Memory Including Micromagnetic Effects Using Rare Event Enhancement , 2016, IEEE Transactions on Magnetics.

[30]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[31]  Aida Todri,et al.  Quantitative evaluation of reliability and performance for STT-MRAM , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[32]  M.H. Kryder,et al.  After Hard Drives—What Comes Next? , 2009, IEEE Transactions on Magnetics.