Performance-Optimized Design for Parametric Reliability
暂无分享,去创建一个
[1] Pradip Bose. Variation-tolerant design , 2005, IEEE Micro.
[2] S. Tam,et al. Clock generation and distribution for the 130-nm Itanium/sup /spl reg// 2 processor with 6-MB on-die L3 cache , 2004, IEEE Journal of Solid-State Circuits.
[3] Sachin S. Sapatnekar,et al. Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[4] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[5] K. L. Shepard,et al. Noise in deep submicron digital design , 1996, ICCAD 1996.
[6] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[7] Vladimir Stojanovic,et al. Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems , 1999, IEEE J. Solid State Circuits.
[8] J. F. Genat. High resolution time-to-digital converters , 1992 .
[9] S.K. Iyer,et al. Electrically programmable fuse (eFUSE) using electromigration in silicides , 2002, IEEE Electron Device Letters.
[10] Shin'ichiro Mutoh,et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.
[11] Kevin J. Nowka,et al. A scheme for on-chip timing characterization , 2006, 24th IEEE VLSI Test Symposium.
[12] Sanjay Pant,et al. A self-tuning DVS processor using delay-error detection and correction , 2005, IEEE Journal of Solid-State Circuits.
[13] Pradip Bose. Presilicon modeling: challenges in the late CMOS era , 2005, IEEE Micro.
[14] Dragan Maksimovic,et al. Switching regulator with dynamically adjustable supply voltage for low power VLSI , 2001, IECON'01. 27th Annual Conference of the IEEE Industrial Electronics Society (Cat. No.37243).
[15] Dragan Maksimovic,et al. Closed-loop adaptive voltage scaling controller for standard-cell ASICs , 2002, ISLPED '02.
[16] G. Declerck. A look into the future of nanoelectronics , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[17] G. Ono,et al. A 1000-MIPS/W microprocessor using speed adaptive threshold-voltage CMOS with forward bias , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[18] R. Aitken. Redundancy - it's not just for defects any more , 2004 .
[19] A. Alvandpour,et al. A process variation compensating technique for sub-90 nm dynamic circuits , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).
[20] P. Dudek,et al. A high-resolution CMOS time-to-digital converter utilizing a Vernier delay line , 2000, IEEE Journal of Solid-State Circuits.
[21] Jacob A. Abraham,et al. On-chip delay measurement for silicon debug , 2004, GLSVLSI '04.
[22] Sani R. Nassif. Modeling and forecasting of manufacturing variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.
[23] Yu Cao,et al. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).