Low power network processor design using clock gating

Network processors (NPs) have emerged as successful platforms to providing both high performance and flexibility in building powerful routers. Typical NPs incorporate multiprocessing and multi-threading to achieve maximum parallel processing capabilities. We observed that under low incoming traffic rates, most processing elements (PEs) in NPs are nearly idle and yet still consume dynamic power. This paper develops a low power technique to reduce the activities of PEs according to the varying traffic volume. We propose to monitor the average number of idle threads in a time window, and gate off the clock network of unused PEs when a subset of PEs is enough to handle the network traffic. We show that our technique brings significant reduction in power consumption (up to 30%) of NPs with no packet loss and little impact to the overall throughput.

[1]  Gokhan Memik,et al.  Increasing power efficiency of multi-core network processors through data filtering , 2002, CASES '02.

[2]  Wayne Wolf,et al.  Modern vlsi design: system-on-chip design, third edition , 2002 .

[3]  Kaushik Roy,et al.  Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.

[4]  George Varghese,et al.  A pipelined memory architecture for high throughput network processors , 2003, ISCA '03.

[5]  Tilman Wolf,et al.  Power Considerations in Network Processor Design , 2004 .

[6]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[7]  Narayanan Vijaykrishnan,et al.  A clock power model to evaluate impact of architectural and technology optimizations , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[8]  Yitzchak M. Gottlieb,et al.  Building a robust software-based router using network processors , 2001, SOSP.

[9]  T. N. Vijaykumar,et al.  Efficient use of memory bandwidth to improve network processor throughput , 2003, ISCA '03.

[10]  Stefanos Kaxiras,et al.  IPStash: a Power-Efficient Memory Architecture for IP-lookup , 2003, MICRO.

[11]  Gokhan Memik,et al.  A Case for Clumsy Packet Processors , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[12]  Corporate Intel Corp. i860 microprocessor family programmer's reference manual , 1992 .

[13]  Yiran Chen,et al.  Deterministic clock gating for microprocessor power reduction , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[14]  Laxmi N. Bhuyan,et al.  NePSim: a network processor simulator with a power evaluation framework , 2004, IEEE Micro.