FPGA Design for Timing Yield Under Process Variations
暂无分享,去创建一个
[1] David Blaauw,et al. Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[2] David Blaauw,et al. Computation and refinement of statistical bounds on circuit delay , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[3] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] D. Sylvester,et al. A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[5] M. Orshansky,et al. Joint Design-Time and Post-Silicon Minimization of Parametric Yield Loss using Adjustable Robust Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[6] Narayanan Vijaykrishnan,et al. Variation aware placement for FPGAs , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
[7] Yan Lin,et al. Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation , 2007, FPGA '07.
[8] I. Miller. Probability, Random Variables, and Stochastic Processes , 1966 .
[9] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[10] Kaushik Roy,et al. Speed binning aware design methodology to improve profit under parameter variations , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[11] Kia Bazargan,et al. Variation-aware routing for FPGAs , 2007, FPGA '07.
[12] David Blaauw,et al. Circuit optimization using statistical static timing analysis , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[13] Yan Lin,et al. Placement and Timing for FPGAs Considering Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.
[14] Jan M. Rabaey,et al. Digital Integrated Circuits , 2003 .
[15] Natesan Venkateswaran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[16] H. Wong,et al. CMOS scaling into the nanometer regime , 1997, Proc. IEEE.
[17] Yan Lin,et al. FPGA device and architecture evaluation considering process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[18] David Blaauw,et al. Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[19] Jinjun Xiong,et al. FPGA Performance Optimization Via Chipwise Placement Considering Process Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.
[20] D. J. Hathaway,et al. Uncertainty-aware circuit optimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[21] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[22] David Blaauw,et al. Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[23] Farid N. Najm,et al. An adaptive FPGA architecture with process variation compensation and reduced leakage , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[24] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[25] B. Cline,et al. Analysis and modeling of CD variation for statistical static timing , 2006, ICCAD '06.
[26] Vaughn Betz,et al. Timing-driven placement for FPGAs , 2000, FPGA '00.