Effective thermal control techniques for liquid-cooled 3D multi-core processors

Microchannel liquid cooling shows great potential in cooling 3D processors. However, the cooling of 3D processors is limited due to design-time and run-time challenges. Moreover, in new technologies, the processor power density is continually increasing and this will bring more serious challenges to liquid cooling. In this paper, we propose two thermal control techniques: 1) Core Vertically Placed (CVP) technique. According to the architecture of a processor core, two schemes are given for placing a core vertically onto multilayers. The 3D processor with the CVP technique can be better cooled since its separate hotspot blocks have a larger total contact area with the cooler surroundings. 2) Thermoelectric cooling (TEC) technique. We propose to incorporate the TEC technique into the liquid-cooled 3D processor to enhance the cooling of hotspots. Our experiments show the CVP technique reduces the maximum temperature up to 29.58 °C, and 16.64 °C on average compared with the baseline design. Moreover, the TEC technique effectively cools down a hotspot from 96.86 °C to 78.60 °C.

[1]  Nisha Checka,et al.  Technology, performance, and computer-aided design of three-dimensional integrated circuits , 2004, ISPD '04.

[2]  David Atienza,et al.  Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries , 2010, 2010 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).

[3]  Jun Yang,et al.  Thermal-Aware Task Scheduling for 3D Multicore Processors , 2010, IEEE Transactions on Parallel and Distributed Systems.

[4]  Gabriel H. Loh,et al.  Implementing caches in a 3D technology for high performance processors , 2005, 2005 International Conference on Computer Design.

[5]  Frederic T. Chong,et al.  Fighting fire with fire: Modeling the datacenter-scale effects of targeted superlattice thermal management , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[6]  A. Bar-Cohen,et al.  On-Chip Thermal Management and Hot-Spot Remediation , 2021, Nano-Bio- Electronic, Photonic and MEMS Packaging.

[7]  C. Nicopoulos,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, ISCA 2006.

[8]  David Atienza,et al.  Thermal balancing of liquid-cooled 3D-MPSoCs using channel modulation , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[9]  David Atienza,et al.  Attaining Single-Chip, High-Performance Computing through 3D Systems with Active Cooling , 2011, IEEE Micro.

[10]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[11]  David Atienza,et al.  Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  David Atienza,et al.  Energy-efficient variable-flow liquid cooling in 3D stacked architectures , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  Ravi Mahajan,et al.  On-chip cooling by superlattice-based thin-film thermoelectrics. , 2009, Nature nanotechnology.

[14]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[15]  E. Colgan,et al.  A practical implementation of silicon microchannel coolers for high power chips , 2005, Semiconductor Thermal Measurement and Management IEEE Twenty First Annual IEEE Symposium, 2005..

[16]  Ankur Srivastava,et al.  Non-uniform micro-channel design for stacked 3D-ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[17]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[18]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[19]  Y. Leblebici,et al.  Heat-removal performance scaling of interlayer cooled chip stacks , 2010, 2010 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.

[20]  H. Rothuizen,et al.  Interlayer cooling potential in vertically integrated packages , 2008 .