Stochastic Optimization Over Correlated Data Set: A Case Study on VLSI Decoupling Capacitance Budgeting
暂无分享,去创建一个
Jinjun Xiong | Yiyu Shi | Lei He | Lei He | Jinjun Xiong | Yiyu Shi
[1] Farid N. Najm,et al. Statistical Verification of Power Grids Considering Process-Induced Leakage Current Variations , 2003, ICCAD.
[2] Kurt Keutzer,et al. Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[3] Rajendran Panda,et al. Stochastic power grid analysis considering process variations , 2005, Design, Automation and Test in Europe.
[4] Jinjun Xiong,et al. Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Farid N. Najm,et al. Incremental partitioning-based vectorless power grid verification , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[6] K. Ravindran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Jinjun Xiong,et al. Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[8] Larry Pileggi,et al. On-package decoupling optimization with package macromodels , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[9] Hai Zhou,et al. Statistical gate sizing for timing yield optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[10] Yici Cai,et al. Partitioning-based approach to fast on-chip decap budgeting and minimization , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[11] Azadeh Davoodi,et al. Variability Driven Gate Sizing for Binning Yield Optimization , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Hongliang Chang,et al. Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[13] Michael Orshansky,et al. An efficient algorithm for statistical minimization of total power under timing yield constraints , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[14] Vipin Kumar,et al. A parallel formulation of interior point algorithms , 1994, Proceedings of Supercomputing '94.
[15] Sani R. Nassif,et al. Power grid analysis using random walks , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] D. Chakrabarti,et al. A fast fixed - point algorithm for independent component analysis , 1997 .
[17] Aapo Hyvärinen,et al. A Fast Fixed-Point Algorithm for Independent Component Analysis , 1997, Neural Computation.
[18] Sheldon X.-D. Tan,et al. A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery , 2004 .
[19] Sani R. Nassif,et al. Characterizing Process Variation in Nanometer CMOS , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[20] Natesan Venkateswaran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[21] Ioannis Dritsas,et al. Stochastic Optimization - Seeing the Optimal for the Uncertain , 2011 .
[22] Erling D. Andersen,et al. A parallel interior-point algorithm for linear programming on a shared memory machine , 1998 .
[23] Sani R. Nassif,et al. Optimal decoupling capacitor sizing and placement for standard-cell layout designs , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[24] Rajendran Panda,et al. A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[25] M. Orshansky,et al. Joint Design-Time and Post-Silicon Minimization of Parametric Yield Loss using Adjustable Robust Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[26] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[27] Jinjun Xiong,et al. Efficient decoupling capacitance budgeting considering operation and process variations , 2007, ICCAD 2007.
[28] Sachin S. Sapatnekar,et al. Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[29] Farid N. Najm,et al. Analysis and verification of power grids considering process-induced leakage-current variations , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Edoardo Charbon,et al. IEEE Custom Integrated Circuits Conference (CICC) , 2009, CICC 2009.
[31] Erkki Oja,et al. Independent Component Analysis , 2001 .
[32] Rajendran Panda,et al. A stochastic approach to power grid analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..
[33] Sarvesh Bhardwaj,et al. Leakage minimization of nano-scale circuits in the presence of systematic and random variations , 2005, Proceedings. 42nd Design Automation Conference, 2005..