Leakage-Aware TSV-Planning with Power-Temperature-Delay Dependence in 3D ICs

Due to the increased power density and lower thermal conductivity, 3D ICs are faced with heat dissipation and temperature problem seriously. TSV (Through-Silicon-Via) has been shown as an effective way to help heat removal, but they introduce several issues related with cost and reliability as well. Previous researches of TSV planning didn't pay much attention to the impact of leakage power, which will bring in error on estimation of temperature, TSV number and also critical path delay. The leakage-temperature-delay dependence can potentially negate the performance improvement of 3D designs. In this paper, we analyze the impact of leakage power on TSV planning and integrate leakage-temperature-delay dependence into thermal via planning of 3D ICs. A weighted via insertion approach, considering the influence on both module delay and wire delay, is proposed to achieve the best balance among temperature, via number and performance. Experiment results show that, with leakage power and resource constraint considered, temperature and the required via number can be quite different, and the weighted TSV insertion approach with iterative process can obtain the trade-off between different factors including thermal, power consumption, via number and performance.

[1]  Qiang Zhou,et al.  Efficient Thermal via Planning Approach and Its Application in 3-D Floorplanning , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Bryan Black,et al.  3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[3]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[5]  Sung Kyu Lim,et al.  Multi-functional interconnect co-optimization for fast and reliable 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[6]  Sung-Mo Kang,et al.  Standard cell placement for even on-chip thermal distribution , 1999, ISPD '99.

[7]  Sung Kyu Lim,et al.  Whitespace redistribution for thermal via insertion in 3D stacked ICs , 2007, 2007 25th International Conference on Computer Design.

[8]  Hai Zhou,et al.  3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, ICCAD 2007.

[9]  Jason Cong,et al.  LP based white space redistribution for thermal via planning and performance optimization in 3D ICs , 2008, 2008 Asia and South Pacific Design Automation Conference.

[10]  Yusuf Leblebici,et al.  Through Silicon Via-Based Grid for Thermal Control in 3D Chips , 2009, NanoNet.

[11]  Ravi Jenkal,et al.  Exploring compromises among timing, power and temperature in three-dimensional integrated circuits , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[12]  Yuan Xie,et al.  Evaluation of thermal-aware design techniques for microprocessors , 2005, 2005 6th International Conference on ASIC.

[13]  Narayanan Vijaykrishnan,et al.  Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[14]  Kaustav Banerjee,et al.  Analysis of non-uniform temperature-dependent interconnect performance in high performance ICs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  Sachin S. Sapatnekar,et al.  Thermal via placement in 3D ICs , 2005, ISPD '05.

[16]  Yan Zhang,et al.  Thermal-driven multilevel routing for 3D ICs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[17]  Satoshi Goto,et al.  Integrated interlayer via planning and pin assignment for 3D ICs , 2009, SLIP '09.

[18]  Qiang Zhou,et al.  Thermal Effects with Leakage Power Considered in 2D/3D Floorplanning , 2007, 2007 10th IEEE International Conference on Computer-Aided Design and Computer Graphics.