Understanding PBTI in Replacement Metal Gate Ge n-Channel FETs With Ultrathin Al2O3 and GeOx ILs Using Ultrafast Charge Trap–Detrap Techniques

We report positive bias temperature instability data in replacement metal gate Ge n-channel metal–oxide–semiconductor field-effect transistors with an <italic>in-situ</italic> gate stack employing an ultrathin (5 Å), stable Al<sub>2</sub>O<sub>3</sub> interlayer (IL) using ultrafast (~microseconds) characterization techniques that ensure recovery artifact-free measurements. Comparison with state-of-the-art GeO<sub><italic>x</italic></sub> IL is also reported besides establishing correlations between band-edge interface trap density (<inline-formula> <tex-math notation="LaTeX">${D}_{\text {it}}$ </tex-math></inline-formula>), mobility (<inline-formula> <tex-math notation="LaTeX">$\mu$ </tex-math></inline-formula>), and threshold voltage (<inline-formula> <tex-math notation="LaTeX">${V}_{T}$ </tex-math></inline-formula>) instability. Ultrafast measure-stress-measure (UF-MSM), ultrafast measure-stress-detrap-measure (UF-MSDM), stress-induced-leakage-current (SILC), direct-current current–voltage (DCIV), split capacitance–voltage (<inline-formula> <tex-math notation="LaTeX">${C}$ </tex-math></inline-formula>–<inline-formula> <tex-math notation="LaTeX">${V}$ </tex-math></inline-formula>), and low-temperature full conductance techniques along with a compact model demonstrate that: 1) trap generation occurs at IL/high-<inline-formula> <tex-math notation="LaTeX">${k}$ </tex-math></inline-formula> interface during stress; 2) an increase in <inline-formula> <tex-math notation="LaTeX">$\mu$ </tex-math></inline-formula> with reduction in <inline-formula> <tex-math notation="LaTeX">${D}_{\text {it}}$ </tex-math></inline-formula> does not guarantee better reliability, i.e., <inline-formula> <tex-math notation="LaTeX">${V}_{T}$ </tex-math></inline-formula> shift and <inline-formula> <tex-math notation="LaTeX">$\mu$ </tex-math></inline-formula> are uncorrelated due to their dependence on separate regions of the gate stack; 3) contributions to total <inline-formula> <tex-math notation="LaTeX">${V}_{\text {T}}$ </tex-math></inline-formula> degradation from trapping and generated traps are mutually exclusive; 4) UF-MSDM is a powerful tool to estimate trap generation; and 5) <inline-formula> <tex-math notation="LaTeX">${V}_{T}$ </tex-math></inline-formula> degradation is directly proportional to high-<inline-formula> <tex-math notation="LaTeX">${k}$ </tex-math></inline-formula> thickness, varies inversely with IL thickness, and reduces with annealing.

[1]  B. Kaczer,et al.  Understanding charge traps for optimizing Si-passivated Ge nMOSFETs , 2016, 2016 IEEE Symposium on VLSI Technology.

[2]  A. Chasin,et al.  Fundamental study of the apparent voltage-dependence of NBTI kinetics by constant electric field stress in Si and SiGe devices , 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

[3]  E. Cartier,et al.  Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scaling , 2011, 2011 International Electron Devices Meeting.

[4]  S. Lodha,et al.  Plasma-assisted low energy N2 implant for Vfb tuning of Ge gate stacks , 2016 .

[5]  Ming-Fu Li,et al.  Understand NBTI Mechanism by Developing Novel Measurement Techniques , 2008, IEEE Transactions on Device and Materials Reliability.

[6]  Improved n-channel Ge gate stack performance using HfAlO high-k dielectric for various Al concentrations , 2016 .

[7]  Gennadi Bersuker,et al.  Applications of DCIV method to NBTI characterization , 2007, Microelectron. Reliab..

[8]  A. Nainani,et al.  Germanium oxynitride gate interlayer dielectric formed on Ge(100) using decoupled plasma nitridation , 2013 .

[9]  Towards high mobility GeSn channel nMOSFETs: Improved surface passivation using novel ozone oxidation method , 2012, 2012 International Electron Devices Meeting.

[10]  Hiroshi Iwai,et al.  Effects of low temperature annealing on the ultrathin La2O3 gate dielectric; comparison of post deposition annealing and post metallization annealing , 2005 .

[11]  D. Schroder Semiconductor Material and Device Characterization, 3rd Edition , 2005 .

[12]  Mark S. Lundstrom Elementary scattering theory of the Si MOSFET , 1997, IEEE Electron Device Letters.

[13]  Chi On Chui,et al.  On the Correct Extraction of Interface Trap Density of MOS Devices With High-Mobility Semiconductor Substrates , 2008, IEEE Transactions on Electron Devices.

[14]  S. Mahapatra,et al.  A consistent physical framework for N and P BTI in HKMG MOSFETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[15]  C. Lu,et al.  Design and demonstration of reliability-aware Ge gate stacks with 0.5 nm EOT , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[16]  H. Hwang,et al.  Oxygen vacancy induced charge trapping and positive bias temperature instability in HfO2nMOSFET , 2007 .

[17]  Qingchun Zhang,et al.  Characteristics of Self-Aligned Gate-First Ge p- and n-Channel MOSFETs Using CVD $\hbox{HfO}_{2}$ Gate Dielectric and Si Surface Passivation , 2007, IEEE Transactions on Electron Devices.

[18]  M.A. Alam,et al.  Separation method of hole trapping and interface trap generation and their roles in NBTI reaction-diffusion model , 2008, 2008 IEEE International Reliability Physics Symposium.

[19]  Michael A. Guillorn,et al.  Materials characterization for process integration of multi-channel gate all around (GAA) devices , 2017, Advanced Lithography.

[20]  H. Mertens,et al.  Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO2/HfO2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks , 2013, 2013 IEEE International Electron Devices Meeting.

[21]  K. Wostyn,et al.  Si-passivated Ge nMOS gate stack with low Dit and dipole-induced superior PBTI reliability using 3D-compatible ALD caps and high-pressure anneal , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[22]  S. Mahapatra,et al.  Physical Mechanism of BTI Degradation—Modeling of Process and Material Dependence , 2016 .

[23]  S. Samavedam,et al.  Hafnium zirconate gate dielectric for advanced gate stack applications , 2007 .

[24]  Souvik Mahapatra,et al.  An Experimental Perspective of Trap Generation Under BTI Stress , 2015, IEEE Transactions on Electron Devices.

[25]  Mitsuru Takenaka,et al.  Properties of slow traps of ALD Al2O3/GeOx/Ge nMOSFETs with plasma post oxidation , 2016 .

[26]  Chi On Chui,et al.  Nanoscale germanium MOS Dielectrics-part I: germanium oxynitrides , 2006, IEEE Transactions on Electron Devices.

[27]  J. Robertson High dielectric constant gate oxides for metal oxide Si transistors , 2006 .

[28]  Rui Zhang,et al.  High-Mobility Ge p- and n-MOSFETs With 0.7-nm EOT Using $\hbox{HfO}_{2}/\hbox{Al}_{2}\hbox{O}_{3}/\hbox{GeO}_{x}/\hbox{Ge}$ Gate Stacks Fabricated by Plasma Postoxidation , 2013, IEEE Transactions on Electron Devices.

[29]  S. Lodha,et al.  Ultrafast PBTI characterization on Si-free gate last Ge nFETs with stable and ultrathin Al2O3 IL , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[30]  L. Witters,et al.  Ge nFET with high electron mobility and superior PBTI reliability enabled by monolayer-Si surface passivation and La-induced interface dipole formation , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

[31]  E. Leobandung,et al.  High-mobility high-Ge-content Si1−xGex-OI PMOS FinFETs with fins formed using 3D germanium condensation with Ge fraction up to x∼ 0.7, scaled EOT∼8.5Å and ∼10nm fin width , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[32]  V. Reddy,et al.  A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[33]  Interfacial layer-free ZrO2 on Ge with 0.39-nm EOT, κ∼43, ∼2×10−3 A/cm2 gate leakage, SS =85 mV/dec, Ion/Ioff =6×105, and high strain response , 2012, 2012 International Electron Devices Meeting.

[34]  D. Schmeißer,et al.  Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films , 2013, Beilstein journal of nanotechnology.

[35]  A. Brand,et al.  HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[36]  P. P. Manik,et al.  Fermi-level unpinning and low resistivity in contacts to n-type Ge with a thin ZnO interfacial layer , 2012 .

[37]  B. Kaczer,et al.  SiGe Channel Technology: Superior Reliability Toward Ultrathin EOT Devices—Part I: NBTI , 2013, IEEE Transactions on Electron Devices.

[38]  S. Lodha,et al.  Temperature and field dependent low frequency noise characterization of Ge n-FETs , 2016 .

[39]  L. Ragnarsson,et al.  Ultrathin high-K gate stacks for advanced CMOS devices , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[40]  C. Merckling,et al.  High FET Performance for a Future CMOS $\hbox{GeO}_{2}$ -Based Technology , 2010, IEEE Electron Device Letters.

[41]  S. Mahapatra,et al.  A Comprehensive DC and AC PBTI Modeling Framework for HKMG n-MOSFETs , 2017, IEEE Transactions on Electron Devices.