3D Ring Oscillator based Test Structures to Detect a Trojan Die in a 3D Die Stack in the Presence of Process Variations

[1]  Giorgio Di Natale,et al.  A 3D IC BIST for pre-bond test of TSVs using ring oscillators , 2013, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS).

[2]  Kuen-Jong Lee,et al.  An efficient 3D-IC on-chip test framework to embed TSV testing in memory BIST , 2015, The 20th Asia and South Pacific Design Automation Conference.

[3]  Kuen-Jong Lee,et al.  3D-IC test architecture for TSVs with different impact ranges of crosstalk faults , 2016, 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

[4]  Soha Hassoun,et al.  Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Ankur Jain,et al.  Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits , 2008, Microelectron. J..

[6]  Shi-Yu Huang,et al.  In-Situ Method for TSV Delay Testing and Characterization Using Input Sensitivity Analysis , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Morihiro Kada,et al.  Three-Dimensional Integration of Semiconductors: Processing, Materials, and Applications , 2015 .

[8]  Cheng-Wen Wu,et al.  3D-IC interconnect test, diagnosis, and repair , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

[9]  Mohammad A. Ahmed,et al.  Delay and power optimization with TSV-aware 3D floorplanning , 2014, Fifteenth International Symposium on Quality Electronic Design.

[10]  J. Vanfleteren,et al.  Thinned dies in a stretchable package , 2012, 2012 4th Electronic System-Integration Technology Conference.

[11]  Rui Li,et al.  Built-in-Self-Test-Stacked 3-D Ring Oscillator Based on Through Silicon Vias , 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[12]  Zheyao Wang,et al.  Development of ultra-low capacitance through-silicon-vias (TSVs) with air-gap liner , 2013, 2013 IEEE 63rd Electronic Components and Technology Conference.

[13]  Shahid Rauf,et al.  Inter-Strata Connection Characteristics and Signal Transmission in Three-Dimensional (3D) Integration Technology , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[14]  Zheng Xu,et al.  Electromagnetic-SPICE modeling and analysis of 3D power network , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

[15]  K. Xiao,et al.  Hardware Trojans , 2016, ACM Trans. Design Autom. Electr. Syst..

[16]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[17]  Jun Zhou,et al.  BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[18]  Soha Hassoun,et al.  Designing TSVs for 3D Integrated Circuits , 2012, Springer Briefs in Electrical and Computer Engineering.

[19]  Ping Gui,et al.  Detecting a trojan die in 3D stacked integrated circuits , 2017, 2017 IEEE North Atlantic Test Workshop (NATW).

[20]  Mark Mohammad Tehranipoor,et al.  Security and Vulnerability Implications of 3D ICs , 2016, IEEE Transactions on Multi-Scale Computing Systems.

[21]  Xiaoxia Wu,et al.  Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Carlos C. Solari Designing for security , 2007, Bell Labs Technical Journal.