Sensitive polysulfone based chain scissioning resists for 193nm lithography

Chain scissioning resists do not require addition of photoacid generators to function. Previously reported chain scissioning polysulfone resists were able to achieve enhanced sensitivity by incorporation of absorbing repeat units, but these groups also inhibited the depolymerization reaction, which could further enhance sensitivity. Here we report the development of sensitive polysulfone chain scissioning resists for 193 nm that are able to undergo depolymerization. The effect of depolymerization of LER is also discussed. These polymers underwent CD shrinkage upon overdose, which may be useful for double patterning processes.

[1]  R. French,et al.  Immersion Lithography: Photomask and Wafer-Level Materials , 2009 .

[2]  Karen Maex,et al.  Impact of line-edge roughness on resistance and capacitance of scaled interconnects , 2007 .

[3]  Paul Zimmerman,et al.  Non-CA resists for 193 nm immersion lithography: effects of chemical structure on sensitivity , 2009, Advanced Lithography.

[4]  Yasin Ekinci,et al.  Characterization of extreme ultraviolet resists with interference lithography , 2006 .

[5]  Latha Ramakrishnan,et al.  Synthesis, characterization, thermal degradation, and comparative chain dynamics studies of weak-link polysulfide polymers , 2009 .

[6]  Chris A. Mack,et al.  Polymer dissolution model: an energy adaptation of the critical ionization theory , 2009, Advanced Lithography.

[7]  Kenichi Oyama,et al.  Novel approaches to controlling photo-resist CD in double patterning processes , 2010, Advanced Lithography.

[8]  Roger H. French,et al.  Novel hydrofluorocarbon polymers for use as pellicles in 157 nm semiconductor photolithography: fundamentals of transparency , 2003 .

[9]  Andrew K. Whittaker,et al.  Development of polymers for non-CAR resists for EUV lithography , 2009, Advanced Lithography.

[10]  Hiroshi Ito Chemical amplification resists for microlithography , 2005 .

[11]  Will Conley,et al.  High-RI resist polymers for 193 nm immersion lithography , 2005, SPIE Advanced Lithography.

[12]  Paul Zimmerman,et al.  Status of High-Index Materials for Generation-Three 193nm Immersion Lithography , 2007 .

[13]  Will Conley,et al.  Novel high-index resists for 193-nm immersion lithography and beyond , 2007, SPIE Advanced Lithography.

[14]  M. Stewart,et al.  Line edge roughness in chemically amplified resist: Speculation, simulation and application , 2005 .

[15]  Takahiro Kozawa,et al.  Theoretical Study on Chemical Gradient Generated in Chemically Amplified Resists Based on Polymer Deprotection upon Exposure to Extreme Ultraviolet Radiation , 2009 .

[16]  Willard E. Conley,et al.  Is ArF the final wavelength? , 2004, SPIE Advanced Lithography.

[17]  Takahiro Kozawa,et al.  Normalized Image Log Slope with Secondary Electron Migration Effect in Chemically Amplified Extreme Ultraviolet Resists , 2009 .

[18]  Vincent Wiaux,et al.  Immersion lithography and double patterning in advanced microelectronics , 2010, International Conference on Micro- and Nano-Electronics.

[19]  Andrew K. Whittaker,et al.  Synthesis of high refractive index sulfur containing polymers for 193 nm immersion lithography: a progress report , 2006, SPIE Advanced Lithography.

[20]  Takahiro Kozawa,et al.  Line edge roughness after development in a positive-tone chemically amplified resist of post-optical lithography investigated by Monte Carlo simulation and a dissolution model. , 2008, Nanotechnology.

[21]  Takahiro Kozawa,et al.  Correlation between proton dynamics and line edge roughness in chemically amplified resist for post-optical lithography , 2006 .

[22]  Andrew K. Whittaker,et al.  Mechanism of 157 nm Photodegradation of Poly[4,5-difluoro-2,2-bis(trifluoromethyl)-1,3-dioxole-co-tetrafluoroethylene] (Teflon AF) , 2007 .

[23]  Bryan J. Rice,et al.  Development of an operational high refractive index resist for 193nm immersion lithography , 2008, SPIE Advanced Lithography.

[24]  Will Conley,et al.  Rational Design of High-RI Resists for 193nm Immersion Lithography , 2007 .

[25]  P. Kruit,et al.  Local critical dimension variation from shot-noise related line edge roughness , 2005 .

[26]  Yong Keng Goh,et al.  Polysulfone based non-CA resists for 193 nm immersion lithography: Effect of increasing polymer absorbance on sensitivity , 2011 .

[27]  Hiroshi Ito,et al.  Aerial image contrast using interferometric lithography: effect on line-edge roughness , 1999, Advanced Lithography.

[28]  Roderick R. Kunz,et al.  157-nm pellicles: polymer design for transparency and lifetime , 2002, SPIE Advanced Lithography.

[29]  Warren Montgomery,et al.  Non-chemically amplified resists for 193-nm immersion lithography: influence of absorbance on performance , 2010, Advanced Lithography.

[30]  Andrew K. Whittaker,et al.  The rational design of polymeric EUV resist materials by QSPR modelling , 2007, SPIE Advanced Lithography.

[31]  Idriss Blakey,et al.  Patterning of tailored polycarbonate based non-chemically amplified resists using extreme ultraviolet lithography. , 2010, Macromolecular rapid communications.

[32]  Roger H. French,et al.  Materials design and development of fluoropolymers for use as pellicles in 157-nm photolithography , 2001, SPIE Advanced Lithography.

[33]  Andrew K. Whittaker,et al.  XPS and 19F NMR Study of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Thin Films , 2005 .

[34]  Ivan Pollentier,et al.  Chain scission resists for extreme ultraviolet lithography based on high performance polysulfone-containing polymers , 2011 .

[35]  Ivan Pollentier,et al.  Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists , 2011 .

[36]  Kenneth A. Goldberg,et al.  Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic , 2004 .