Dynamic Power and Thermal Management of NoC-Based Heterogeneous MPSoCs

Advances in silicon process technology have made it possible to include multiple processor cores on a single die. Billion transistor architectures usually in the form of networks-on-chip present a wide range of challenges in design, microarchitecture, and algorithmic levels with significant impact to system performance and power consumption. In this article, we propose efficient methods and mechanisms that exploit a heterogeneous network-on-chip (NoC) to achieve a power- and thermal-aware coherent system. To this end, we utilize different management techniques which employ dynamic frequency scaling circuitry and power and temperature sensors per node to achieve real-time workload prediction and allocation at node and system level by low-cost threads. The developed heterogeneous multicoprocessing infrastructure is utilized to evaluate diverse policies for power-aware computing in terms of effectiveness and in relation to distributed sensor-conscious management. The proposed reconfigurable architecture supports coprocessor accelerators per node, monitors the program’s power profile on-the-fly, and balances power and thermal behavior at the NoC level. Overall, these techniques form a system exploration methodology using a multi-FPGA emulation platform showing a minimum complexity overhead.

[1]  Luca Benini,et al.  Thermal Balancing Policy for Multiprocessor Stream Computing Platforms , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Babak Falsafi,et al.  Flexible Hardware Acceleration for Instruction-Grain Program Monitoring , 2008, 2008 International Symposium on Computer Architecture.

[3]  George Kornaros,et al.  Hardware-assisted dynamic power and thermal management in multi-core SoCs , 2011, GLSVLSI '11.

[4]  Margaret Martonosi,et al.  Power-performance simulation: design and validation strategies , 2004, PERV.

[5]  Li Shang,et al.  System-Level Dynamic Thermal Management for High-Performance Microprocessors , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Martin Hopkins,et al.  Synergistic Processing in Cell's Multicore Architecture , 2006, IEEE Micro.

[7]  Georgios Kornaros Application-Specific Customizable Embedded Systems , 2010 .

[8]  Radu Marculescu,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2008, TODE.

[9]  John Kim,et al.  Router microarchitecture and scalability of ring topology in on-chip networks , 2009, 2009 2nd International Workshop on Network on Chip Architectures.

[10]  Frank Bellosa,et al.  Event-Driven Energy Accounting for Dynamic Thermal Management , 2002 .

[11]  Kevin J. Nowka,et al.  Dynamic Power Management by Combination of Dual Static Supply Voltages , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[12]  Margaret Martonosi,et al.  Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[13]  Marek Chrobak,et al.  Performance-aware thermal management via task scheduling , 2010, TACO.

[14]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[15]  Sherief Reda,et al.  Consistent runtime thermal prediction and control through workload phase detection , 2010, Design Automation Conference.

[16]  Luca Benini,et al.  Multi-processor operating system emulation framework with thermal feedback for systems-on-chip , 2007, GLSVLSI '07.

[17]  Emil Talpes,et al.  Toward a multiple clock/voltage island design style for power-aware processors , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  C MowryTodd,et al.  Flexible Hardware Acceleration for Instruction-Grain Program Monitoring , 2008 .

[19]  Radu Marculescu,et al.  Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  M. Suzuoki,et al.  Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor , 2006, IEEE Journal of Solid-State Circuits.

[21]  Karam S. Chatha,et al.  Scheduling of synchronous data flow models on scratchpad memory based embedded processors , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[22]  N. Draper,et al.  Applied Regression Analysis: Draper/Applied Regression Analysis , 1998 .

[23]  Margaret Martonosi,et al.  Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors , 2005, 11th International Symposium on High-Performance Computer Architecture.

[24]  Tajana Simunic,et al.  Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[26]  Chenjie Yu,et al.  Adaptive multi-threading for dynamic workloads in embedded multiprocessors , 2010, SBCCI '10.

[27]  Eun Jung Kim,et al.  Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[28]  T. N. Vijaykumar,et al.  Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.

[29]  Xiao Zhang,et al.  An Evaluation of Per-Chip Nonuniform Frequency Scaling on Multicores , 2010, USENIX Annual Technical Conference.

[30]  Ramakrishna Kotla,et al.  Scheduling processor voltage and frequency in server and cluster systems , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[31]  W. Rudin Real and complex analysis, 3rd ed. , 1987 .

[32]  Gu-Yeon Wei,et al.  Thread motion: fine-grained power management for multi-core systems , 2009, ISCA '09.

[33]  Wu-chun Feng,et al.  A Power-Aware Run-Time System for High-Performance Computing , 2005, ACM/IEEE SC 2005 Conference (SC'05).

[34]  Yen-Kuang Chen,et al.  The ALPBench benchmark suite for complex multimedia applications , 2005, IEEE International. 2005 Proceedings of the IEEE Workload Characterization Symposium, 2005..

[35]  Richard F. Gunst,et al.  Applied Regression Analysis , 1999, Technometrics.

[36]  Michael Hart Lowering Power at 28 nm with Xilinx 7 Series FPGAs , 2009 .

[37]  Margaret Martonosi,et al.  Full-system chip multiprocessor power evaluations using FPGA-based emulation , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[38]  Viktor K. Prasanna,et al.  A Cooperative Management Scheme for Power Efficient Implementations of Real-Time Operating Systems on Soft Processors , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[39]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[40]  Petru Eles,et al.  Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[41]  Guru Venkataramani,et al.  MemTracker: Efficient and Programmable Support for Memory Access Monitoring and Debugging , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[42]  Anand Raghunathan,et al.  Accelerating System-on-Chip Power Analysis Using Hybrid Power Estimation , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[43]  Luca Benini,et al.  HW-SW emulation framework for temperature-aware design in MPSoCs , 2008, TODE.

[44]  Krishnan Srinivasan,et al.  A technique for low energy mapping and routing in network-on-chip architectures , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[45]  Kai Ma,et al.  Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.

[46]  Frank Bellosa,et al.  Event-Driven Thermal Management in SMP Systems , 2005 .

[47]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[48]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[49]  Marcelo Yuffe,et al.  A fully integrated multi-CPU, GPU and memory controller 32nm processor , 2011, 2011 IEEE International Solid-State Circuits Conference.

[50]  Christoforos E. Kozyrakis,et al.  RAMP: Research Accelerator for Multiple Processors , 2007, IEEE Micro.

[51]  Babak Falsafi,et al.  ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs , 2009, TRETS.

[52]  Fabien Clermidy,et al.  An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.

[53]  U. Weiser,et al.  Multiple clock and Voltage Domains for chip multi processors , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[54]  Christoforos E. Kozyrakis,et al.  Raksha: a flexible information flow architecture for software security , 2007, ISCA '07.

[55]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[56]  David H. Albonesi,et al.  Synergistic Temperature and Energy Management in GALS Processor Architectures , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.